Part Number Hot Search : 
AD8312 SM2233 74AHCT1 74AC11SJ BD451P PC2508 EP3600TS AP8022
Product Description
Full Text Search
 

To Download ORT8850H-1BM680I Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  www.latticesemi.com 1 ort8850_10 orca ? ort8850 field-programmable system chip (fpsc) eight-channel x 850 mbits/s backplane transceiver october 2005 data sheet ? 2005 lattice semiconductor corp. all lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www .latticesemi.com/legal. all other brand or product names are trademarks or registered trademarks of their respective holders. the speci cations and information herein are subject to change without notice. introduction field programmable system-on-a-chip (fpscs) bring a whole new dimension to programmable logic: field pro- grammable gate array (fpga) logic and an embedded system solution on a single device. lattice has developed a solution for designers who need the many advantages of fpga-based design implementation, coupled with high- speed serial backplane data transfer. built on the series 4 recon gurable embedded system-on-a-chip (soc) architecture, the ort8850 family is made up of backplane transceivers (serdes) containing eight channels, each operating at up to 850 mbits/s (6.8 gbits/s when all eight channels are used). this is combined with a full-duplex synchronous interface, with built-in clock and data recovery (cdr) in standard-cell logic, along with over 600k usable fpga system gates (ort8850h). with the addition of protocol and access logic such as protocol-indepen- dent framers, asynchronous transfer mode (atm) framers, packet-over-sonet (pos) interfaces, and framers for hdlc for internet protocol (ip), designers can build a con gurable interface retaining proven backplane driver/receiver technology. designers can also use the device to drive high-speed data transfer across buses within a system that are not sonet/sdh based. for example, designers can build a 6.8 gbits/s pci-to-pci half bridge using our pci soft core. the ort8850 family offers a clockless high-speed interface for inter-device communication on a board or across a backplane. the built-in clock recovery of the ort8850 allows for higher system performance, easier-to-design clock domains in a multiboard system, and fewer signals on the backplane. network designers will bene t from the backplane transceiver as a network termination device. the backplane transceiver offers sonet scram- bling/descrambling of data and streamlined sonet framing, pointer moving, and transport overhead handling, plus the programmable logic to terminate the network into proprietary systems. for non-sonet applications, all sonet functionality is hidden from the user and no prior networking knowledge is required. table 1. orca ort8850 family ?available fpga logic (equivalent to or4e02 and or4e06 respectively) device pfu rows pfu columns total pfus fpga max user i/os luts ebr blocks ebr bits (k) fpga system gates (k) ort8850l 26 24 624 278 4,992 8 74 201 - 397 ort8850h 46 44 2,024 297 16,192 16 148 471 - 899 note: the embedded core, embedded system bus, fpga interface and mpi are not included in the above gate counts. the system gate ranges are derived from the following: minimum system gates assumes 100% of the pfus are used for logic only (no pfu ram) with 40% ebr usage and 2 pll's. maximum system gates assumes 80% of the pfus are for logic, 20% are used for pfu ram, with 80% ebr usage and 6 plls.
lattice semiconductor orca ort8850 data sheet 2 table of contents introduction .................................................................. 1 table of contents......................................................... 2 features ....................................................................... 3 embedded core features............................... 3 fpga features ............................................... 4 programmable logic system features........... 5 description ................................................................... 6 what is an fpsc?........................................... 6 fpsc overview............................................... 6 isplever development system..................... 7 fpsc design kit ............................................. 7 fpga logic overview..................................... 8 system-level features ................................... 9 configuration................................................. 10 additional information ................................... 10 ort8850 overview ................................................... 11 embedded core overview ............................ 11 sonet logic blocks - overview .................. 12 system considerations for reference clock distribution.............................................. 15 sonet bypass mode ................................... 16 stm macrocells - overview .......................... 17 hsi macrocell - overview.............................. 19 supervisory and test support features - over- view ........................................................ 19 protection switching - overview ................... 21 fpsc configuration - overview .................... 22 backplane transceiver core detailed description .... 25 sonet logic blocks, detailed description .. 25 receive path logic ....................................... 34 fpga/embedded core interface signals .................. 47 clock and data timing at the fpga/embedded core interface - sonet block ............... 49 powerdown mode ......................................... 56 protection switching...................................... 56 memory map .............................................................. 57 registers access and general description... 57 electrical characteristics............................................ 69 absolute maximum ratings .......................... 69 recommended operating conditions ........................ 69 power supply decoupling lc circuit ............ 70 hsi electrical and timing characteristics ..... 71 embedded core lvds i/o............................ 73 pin information ........................................................... 77 package pinouts ........................................................ 82 package thermal characteristics summary............ 100 ja .............................................................. 100 jc ............................................................. 100 jc .............................................................. 100 jb .............................................................. 100 fpsc maximum junction temperature ...... 101 package thermal characteristics ............... 101 heat sink information.................................. 101 package coplanarity ................................... 101 package parasitics................................................... 102 package outline diagrams ...................................... 102 package outline drawings.......................... 103 ordering information ................................................ 104
lattice semiconductor orca ort8850 data sheet 3 features embedded core features ? implemented in an orca series 4 fpga. ? allows a wide range of high-speed backplane applications, including sonet transport and termination. ? no knowledge of sonet/sdh needed in generic applications. simply supply data, 78 mhz?106 mhz clock, and a frame pulse. ? high-speed interface (hsi) function for clock/data recovery serial backplane data transfer without external clocks. ? eight-channel hsi function provides 850 mbits/s serial interface per channel for a total chip bandwidth of 6.8 gbits/s (full duplex). ? hsi function uses lattice?s 850 mbits/s serial interface core. rates from 126 mbits/s to 850 mbits/s are sup- ported. ? lvds i/os compliant with eia ? -644 support hot insertion. all embedded lvds i/os include both input and output on-board termination to allow long-haul driving of backplanes. ? low-power 1.5 v hsi core. ? low-power lvds buffers. ? programmable sts-3, and sts-12 framing. ? independent sts-3, and sts-12 data streams per quad channels. ? 8:1 data multiplexing/demultiplexing for 106.25 mhz byte-wide data processing in fpga logic. ? on-chip, phase-lock loop (pll) clock meets (type b) jitter tolerance speci cation of itu-t recommendation g.958. ? powerdown option of hsi receiver on a per-channel basis. ? hsi automatically recovers from loss-of-clock once its reference clock returns to normal operating state. ? frame alignment across multiple ort8850 devices for work/protect switching at oc-192/stm-64 and above rates. ? in-band management and con guration through transport overhead extraction/insertion. ? supports transparent modes where either the only insertion is a1/a2 framing bytes, or no bytes are inserted. ? streamlined pointer processor (pointer mover) for 8 khz frame alignment to system clocks. ? built-in boundry scan ( ieee ? 1149.1 jtag). ? fifos align incoming data across all eight channels (two groups of four channels or four groups of two channels) for both sonet scrambling. optional ability to bypass alignment fifos. ? 1 + 1 protection supports sts-12/sts-48 redundancy by either software or hardware control for protection switching applications. sts-192 and above rates are supported through multiple devices. ? orca fpga soft intellectual property core support for a variety of applications. ? programmable synchronous transport module (stm) pointer mover bypass mode. ? programmable stm framer bypass mode. ? programmable clock and data recovery (cdr) bypass mode (clocked lvds high-speed interface). ? redundant outputs and multiplexed redundant inputs for cdr i/os allow for implementation of eight channels with redundancy on a single device.
lattice semiconductor orca ort8850 data sheet 4 fpga features ? high-performance platform design: ? 0.16 m 7-level metal technology. ? internal performance of >250 mhz. ? over 600k fpga system gates (ort8850h). ? meets multiple i/o interface standards. ? 1.5 v operation (30% less power than 1.8 v operation) translates to greater performance. ? traditional i/o selections: ? lvttl (3.3v) and lvcmos (2.5 v and 1.8 v) i/os. ? per pin-selectable i/o clamping diodes provide 3.3 v pci compliance. ? individually programmable drive capability: 24 ma sink/12 ma source, 12 ma sink/6 ma source, or 6 ma sink/3 ma source. ? two slew rates supported (fast and slew-limited). ? fast-capture input latch and input ip- op/latch for reduced input setup time and zero hold time. ? fast open-drain drive capability. ? capability to register 3-state enable signal. ? off-chip clock drive capability. ? two-input function generator in output path. ? new programmable high-speed i/o: ? single-ended: gtl, gtl+, pecl, sstl3/2 (class i & ii), hstl (class i, iii, iv), zbt, and ddr. ? double-ended: lvds, bused-lvds, lvpecl. ? lvds include optional on-chip termination resistor per i/o and on-chip reference generation. ? new capability to (de)multiplex i/o signals: ? new double-data rate (ddr) on both input and output at rates up to 350 mhz (700 mbits/s effective rate). ? new 2x and 4x downlink and uplink capability per i/o (i.e., 50 mhz internal to 200 mhz i/o). ? enhanced twin-quad programmable function unit (pfu): ? eight 16-bit look-up tables (luts) per pfu. ? nine user registers per pfu, one following each lut, and organized to allow two nibbles to act indepen- dently, plus one extra for arithmetic operations. ? new register control in each pfu has two independent programmable clocks, clock enables, local set/reset, and data selects. ? new lut structure allows exible combinations of lut4, lut5, new lut6, 4 1 mux, new 8 1 mux, and ripple mode arithmetic functions in the same pfu. ? 32 x 4 ram per pfu, con gurable as single- or dual-port. create large, fast ram/rom blocks (128 x 8 in only eight pfus) using the slic decoders as bank drivers. ? soft-wired luts (swl) allow fast cascading of up to three levels of lut logic in a single pfu through fast internal routing, which reduces routing congestion and improves speed. ? flexible fast access to pfu inputs from routing. ? fast-carry logic and routing to all four adjacent pfus for nibble-wide, byte-wide, or longer arithmetic func- tions, with the option to register the pfu carry-out. ? abundant high-speed buffered and nonbuffered routing resources provide 2x average speed improvements over previous architectures. ? hierarchical routing optimized for both local and global routing with dedicated routing resources. this results in faster routing times with predictable and ef cient performance. ? slic provides eight 3-state buffers, up to 10-bit decoder, and pa l ? -like and-or-invert (aoi) in each pro- grammable logic cell. ? improved built-in clock management with dual-output programmable phase-locked loops (pplls) provide opti- mum clock modi cation and conditioning for phase, frequency, and duty cycle from 15 mhz up to 420 mhz. mul- tiplication of the input frequency up to 64x, and division of the input frequency down to 1/64x possible.
lattice semiconductor orca ort8850 data sheet 5 ? new 200 mhz embedded quad-port ram blocks, two read ports, two write ports, and two sets of byte lane enables. each embedded ram block can be con gured as: ? one?512 x 18 (quad-port, two read/two write) with optional built-in arbitration. ? one?256 x 36 (dual-port, one read/one write). ? one?1k x 9 (dual-port, one read/one write). ? two?512 x 9 (dual-port, one read/one write for each). ? two ram with arbitrary number of words whose sum is 512 or less by 18 (dual-port, one read/one write). ? supports joining of ram blocks. ? two 16 x 8-bit content addressable memory (cam) support. ? fifo 512 x 18, 256 x 36, 1k x 9, or dual 512 x 9. ? constant multiply (8 x 16 or 16 x 8). ? dual variable multiply (8 x 8). ? embedded 32-bit internal system bus plus 4-bit parity interconnects fpga logic, microprocessor interface (mpi), embedded ram blocks, and embedded backplane transceiver blocks with 100 mhz bus performance. included are built-in system registers that act as the control and status center for the device. ? built-in testability: ? full boundary scan ( ieee 1149.1 and draft 1149.2 jtag). ? programming and readback through boundary scan port compliant to ieee draft 1532:d1.7. ? ts_all testability function to 3-state all i/o pins. ? new temperature-sensing diode. ? cycle stealing capability allows a typical 15% to 40% internal speed improvement after nal place and route. this feature also supports compliance with many setup/hold and clock to out i/o speci cations and may provide reduced ground bounce for output buses by allowing exible delays of switching output buffers. programmable logic system features ? pci local bus compliant for fpga i/os. ? improved powerpc/power quicc mpc860 and powerpc ii mpc8260 high-speed synchronous microprocessor interface can be used for con guration, readback, device control, and device status, as well as for a general-pur- pose interface to the fpga logic, rams, and embedded backplane transceiver blocks. glueless interface to syn- chronous powerpc processors with user-con gurable address space provided. ? new embedded amba ? speci cation 2.0 ahb system bus ( arm ? processor) facilitates communication among the microprocessor interface, con guration logic, embedded block ram, fpga logic, and backplane transceiver logic. ?new network plls meet itu-t g.811 speci cations and provide clock conditioning for ds-1/e-1 and sts- 3/stm-1 applications. ? variable size bused readback of con guration data capability with the built-in microprocessor interface and sys- tem bus. ? internal, 3-state, and bidirectional buses with simple control provided by the slic. ? new clock routing structures for global and local clocking signi cantly increases speed and reduces skew (<200 ps for or4e04). ? new local clock routing structures allow creation of localized clock trees. ? two new edge clock routing structures allow up to six high-speed clocks on each edge of the device for improved setup/hold and clock-to-out performance. ? new double-data rate (ddr) and zero-bus turn-around (zbt) memory interfaces support the latest high- speed memory interfaces. ? new 2x/4x uplink and downlink i/o capabilities interface high-speed external i/os to reduced speed internal logic.
lattice semiconductor orca ort8850 data sheet 6 ? meets universal test and operations phy interface for atm (utopia) levels 1, 2, and 3. also meets proposed speci cations for utopia level 4 pos-phy, level 3 (2.5 gbits/s), and pos-phy 4 (10 gbits/s) interface stan- dards for packet-over-sonet as de ned by the saturn group. ? isplever development system software. supported by industry-standard cae tools for design entry, synthesis, simulation, and timing analysis. description what is an fpsc? fpscs, or field programmable system-on-a-chip devices, are devices that combine eld-programmable logic with asic or mask-programmed logic on a single device. fpscs provide the time to market and the exibility of fpgas, the design effort savings of using soft intellectual property (ip) cores, and the speed, design density, and economy of asics. fpsc overview lattice?s series 4 fpscs are created from series 4 orca fpgas. to create a series 4 fpsc, several columns of programmable logic cells (see fpga logic overview section for fpga logic details) are added to an embedded logic core. other than replacing some fpga gates with asic gates, at greater than 10:1 ef ciency, none of the fpga functionality is changed?all of the series 4 fpga capability is retained: embedded block rams, mpi, pcms, boundary scan, etc. columns of programmable logic are replaced on one side of the device, allowing pins from the replaced columns to be used as i/o pins for the embedded core. the remainder of the device pins retain their fpga functionality. fpsc gate counting the total gate count for an fpsc is the sum of its embedded core (standard-cell/asic gates) and its fpga gates. because fpga gates are generally expressed as a usable range with a nominal value, the total fpsc gate count is sometimes expressed in the same manner. standard-cell asic gates are, however, 10 to 25 times more silicon- area ef cient than fpga gates. therefore, an fpsc with an embedded function is gate equivalent to an fpga with a much larger gate count. fpga/embedded core interface the interface between the fpga logic and the embedded core has been enhanced to provide a greater number of interface signals than on previous fpsc architectures. compared to bringing embedded core signals off-chip, this on-chip interface is much faster and requires less power. series 4 based fpscs expand this interface by providing a link between the embedded block and the multi-master 32-bit system bus in the fpga logic. this system bus allows the core easy access to many of the fpga logic func- tions including the embedded block rams and the microprocessor interface. clock spines also can pass across the fpga/embedded core boundary. this allows fast, low-skew clocking between the fpga and the embedded core. many of the special signals from the fpga, such as done and global set/reset, are also available to the embedded core, making it possible to fully integrate the embedded core with the fpga as a system. for even greater system exibility, fpga con guration rams are available for use by the embedded core. this supports user-programmable options in the embedded core, in turn allowing greater exibility. multiple embedded core con gurations may be designed into a single device with user-programmable control over which con gura- tions are implemented, as well as the capability to change core functionality simply by recon guring the device.
lattice semiconductor orca ort8850 data sheet 7 isplever development system the isplever development system is used to process a design from a netlist to a con gured fpga. this system is used to map a design onto the orca architecture and then place and route it using isplever's timing-driven tools. the development system also includes interfaces to, and libraries for, other popular cae tools for design entry, synthesis, simulation, and timing analysis. the isplever development system interfaces to front-end design entry tools and provides the tools to produce a con gured fpga. in the design ow, the user de nes the functionality of the fpga at two points in the design ow, the design entry and the bit stream generation stage. recent improvements in isplever allow the user to provide timing requirement information through logical preferences only; thus, the designer is not required to have physical knowledge of the implementation. following design entry, the development system's map, place, and route tools translate the netlist into a routed fpga. a oor planner is available for layout feedback and control. a static timing analysis tool is provided to deter- mine design speed, and a back-annotated netlist can be created to allow simulation and timing. timing and simulation output les from isplever are also compatible with many third-party analysis tools. a bit stream generator is then used to generate the con guration data which is loaded into the fpgas internal con gu- ration ram, embedded block ram, and/or fpsc memory. when using the bit stream generator, the user selects options that affect the functionality of the fpga. combined with the front-end tools, isplever produces con guration data that implements the various logic and routing options discussed in this data sheet. fpsc design kit development is facilitated by an fpsc design kit which, together with isplever software and third-party synthesis and simulation engines, provides all software and documentation required to design and verify an fpsc implemen- tation. included in the kit are the fpsc con guration manager, synopsys smart model ? , and/or compiled verilog ? simulation model, hspice ? and/or ibis models for i/o buffers, and complete online documentation. the kit's soft- ware couples with isplever software, providing a seamless fpsc design environment. more information can be obtained by visiting the lattice website at www.latticesemi.com or contacting a local sales of ce.
lattice semiconductor orca ort8850 data sheet 8 fpga logic overview the orca series 4 architecture is a new generation of sram-based programmable devices from lattice. it includes enhancements and innovations geared toward today?s high-speed systems on a single chip. designed with networking applications in mind, the series 4 family incorporates system-level features that can further reduce logic requirements and increase system speed. orca series 4 devices contain many new patented enhance- ments and are offered in a variety of packages and speed grades. the hierarchical architecture of the logic, clocks, routing, ram, and system-level blocks create a seamless merge of fpga and asic designs. modular hardware and software technologies enable system-on-a-chip integration with true plug-and-play design implementation. the architecture consists of four basic elements: programmable logic cells (plcs), programmable i/o cells (pios), embedded block rams (ebrs) and system-level features. these elements are interconnected with a rich routing fabric of both global and local wires. an array of plcs are surrounded by common interface blocks which provide an abundant interface to the adjacent plcs or system blocks. routing congestion around these critical blocks is eliminated by the use of the same routing fabric implemented within the programmable logic core. each plc contains a pfu, slic, local routing resources, and con guration ram. most of the fpga logic is performed in the pfu, but decoders, pa l -like functions, and 3-state buffering can be performed in the slic. the pios provide device inputs and outputs and can be used to register signals and to perform input demultiplexing, output multiplex- ing, uplink and downlink functions, and other functions on two output signals. large blocks of 512 x 18 quad-port ram complement the existing distributed pfu memory. the ram blocks can be used to implement ram, rom, fifo, multiplier, and cam. some of the other system-level functions include the microprocessor interface (mpi), phase-locked loops (plls), and the embedded system bus (esb). plc logic each pfu within a plc contains eight 4-input (16-bit) luts, eight latches/ ip- ops, and one additional flip-flop that may be used independently or with arithmetic functions. the pfu is organized in a twin-quad fashion; two sets of four luts and ip- ops that can be controlled indepen- dently. each pfu has two independent programmable clocks, clock enables, local set/reset, and data selects. luts may also be combined for use in arithmetic functions using fast-carry chain logic in either 4-bit or 8-bit modes. the carry-out of either mode may be registered in the ninth ip- op for pipelining. each pfu may also be con gured as a synchronous 32 x 4 single- or dual-port ram or rom. the ip- ops (or latches) may obtain input from lut outputs or directly from invertible pfu inputs, or they can be tied high or tied low. the ip- ops also have programmable clock polarity, clock enables, and local set/reset. the slic is connected from plc routing resources and from the outputs of the pfu. it contains eight 3-state, bidi- rectional buffers, and logic to perform up to a 10-bit and function for decoding, or an and-or with optional invert to perform pa l -like functions. the 3-state drivers in the slic and their direct connections from the pfu outputs make fast, true, 3-state buses possible within the fpga, reducing required routing and allowing for real- world system performance. programmable i/o the series 4 pio addresses the demand for the exibility to select i/os that meet system interface requirements. i/os can be programmed in the same manner as in previous orca devices, with the additional new features which allow the user the exibility to select new i/o types that support high-speed interfaces. each pio contains four programmable i/o pads and is interfaced through a common interface block to the fpga array. the pio is split into two pairs of i/o pads with each pair having independent clock enables, local set/reset, and global set/reset. on the input side, each pio contains a programmable latch/flip-flop which enables very fast latching of data from any pad. the combination provides very low setup requirements and zero hold times for signals coming on-chip. it may also be used to demultiplex an input signal, such as a multiplexed address/data signal, and register the signals without explicitly building a demultiplexer with a pfu. on the output side of each pio, an output from the plc array can be routed to each output ip- op, and logic can be associated
lattice semiconductor orca ort8850 data sheet 9 with each i/o pad. the output logic associated with each pad allows for multiplexing of output signals and other functions of two output signals. the output ip- op, in combination with output signal multiplexing, is particularly useful for registering address sig- nals to be multiplexed with data, allowing a full clock cycle for the data to propagate to the output. the output buffer signal can be inverted, and the 3-state control can be made active-high, active-low, or always enabled. in addition, this 3-state signal can be registered or nonregistered. the series 4 i/o logic has been enhanced to include modes for speed uplink and downlink capabilities. these modes are supported through shift register logic, which divides down incoming data rates or multiplies up outgoing data rates. this new logic block also supports high-speed ddr mode requirements where data is clocked into and out of the i/o buffers on both edges of the clock. the new programmable i/o cell allows designers to select i/os which meet many new communication standards permitting the device to hook up directly without any external interface translation. they support traditional fpga standards as well as high-speed, single-ended, and differential-pair signaling. based on a programmable, bank-ori- ented i/o ring architecture, designs can be implemented using 3.3v/ 2.5v/1.8v/1.5v referenced output levels. routing the abundant routing resources of the series 4 architecture are organized to route signals individually or as buses with related control signals. both local and global signals utilize high-speed buffered and nonbuffered routes. one plc segmented (x1), six plc segmented (x6), and bused half-chip (xhl) routes are patterned together to provide high connectivity with fast software routing times and high-speed system performance. eight fully distributed primary clocks are routed on a low-skew, high-speed distribution network and may be sourced from dedicated i/o pads, plls, or the plc logic. secondary and edge-clock routing is available for fast regional clock or control signal routing for both internal regions and on device edges. secondary clock routing can be sourced from any i/o pin, plls, or the plc logic. the improved routing resources offer great exibility in moving signals to and from the logic core. this exibility translates into an improved capability to route designs at the required speeds when the i/o signals have been locked to speci c pins. system-level features the series 4 also provides system-level functionality by means of its microprocessor interface, embedded system bus, quad-port embedded block rams, universal programmable phase-locked loops, and the addition of highly tuned networking speci c phase-locked loops. these functional blocks support easy glueless system interfacing and the capability to adjust to varying conditions in today?s high-speed networking systems. microprocessor interface the mpi provides a glueless interface between the fpga and powerpc microprocessors. programmable in 8, 16, and 32-bit interfaces with optional parity to the motorola ? powerpc mpc 860 and mpc8260 bus, it can be used for con guration and readback, as well as for fpga control and monitoring of fpga status. all mpi transactions utilize the series 4 embedded system bus at 66 mhz performance. the mpi provides a system-level microprocessor interface to the fpga user-de ned logic, following con guration, through the system bus, including access to the embedded block ram and general user-logic. the mpi supports burst data read and write transfers, allowing short, uneven transmission of data through the interface by including data fifos. transfer accesses can be single beat (1 x 4-bytes or less), 4-beat (4 x 4-bytes), 8-beat (8 x 2-bytes), or 16-beat (16 x 1-bytes). system bus an on-chip, multimaster, 32-bit system bus with 1-bit parity facilitates communication among the mpi, con guration logic, fpga control, and status registers, embedded block rams, as well as user logic. utilizing the amba speci - cation rev 2.0 ahb protocol, the embedded system bus offers arbiter, decoder, master, and slave elements. mas-
lattice semiconductor orca ort8850 data sheet 10 ter and slave elements are also available for the user-logic and embedded backplane transceiver portion of the ort8850. the system bus control registers can provide control to the fpga such as signaling for reprogramming, reset func- tions, and pll programming. status registers monitor init , done, and system bus errors. an interrupt controller is integrated to provide up to eight possible interrupt resources. bus clock generation can be sourced from the micro- processor interface clock, con guration clock (for slave con guration modes), internal oscillator, user clock from routing, or from the port clock (for jtag con guration modes). phase-locked loops four user plls are provided for orca series 4 fpscs. programmable plls can be used to manipulate the fre- quency, phase, and duty cycle of a clock signal. each ppll is capable of manipulating and conditioning clocks from 20 mhz to 420 mhz. frequencies can be adjusted from 1/64x to 64x the input clock frequency. each program- mable pll provides two outputs that have different multiplication factors but can have the same phase relation- ships. duty cycles and phase delays can be adjusted in 12.5% increments of the clock period. an automatic input buffer delay compensation mode is available for phase delay. each ppll provides two outputs that can have pro- grammable (12.5% steps) phase differences. embedded block ram new 512 x 18 quad-port ram blocks are embedded in the fpga core to signi cantly increase the amount of mem- ory and complement the distributed pfu memories. the ebrs include two write ports, two read ports, and two byte lane enables which provide four-port operation. optional arbitration between the two write ports is available, as well as direct connection to the high-speed system bus. additional logic has been incorporated to allow signi cant exibility for fifo, constant multiply, and two-variable multiply functions. the user can con gure fifo blocks with exible depths of 512k, 256k, and 1k including asyn- chronous and synchronous modes and programmable status and error ags. multiplier capabilities allow a multiple of an 8-bit number with a 16-bit xed coef cient or vice versa (24-bit output), or a multiply of two 8-bit numbers (16- bit output). on-the- y coef cient modi cations are available through the second read/write port. two 16 x 8-bit cams per embedded block can be implemented in single match, multiple match, and clear modes. the ebrs can also be preloaded at device con guration time. con guration the fpgas functionality is determined by internal con guration ram. the fpgas internal initialization/con gura- tion circuitry loads the con guration data at power-up or under system control. the con guration data can reside externally in an eeprom or any other storage media. serial eeproms provide a simple, low pin-count method for con guring fpgas. the ram is loaded by using one of several con guration modes. supporting the traditional master/slave serial, master/slave parallel, and asynchronous peripheral modes, the series 4 also utilizes its microprocessor interface and embedded system bus to perform both programming and readback. daisy chaining of multiple devices and partial recon guration are also permitted. other con guration options include the initialization of the embedded-block ram memories and fpsc memory as well as system bus options and bit stream error checking. programming and readback through the jtag (ieee 1149.2 ) port is also available meeting in-system programming (isp) standards ( ieee 1532 draft). additional information contact your local lattice representative for additional information regarding the orca series 4 fpsc and fpga devices, or visit our website at www .latticesemi.com .
lattice semiconductor orca ort8850 data sheet 11 ort8850 overview the ort8850 fpscs provide high-speed backplane transceivers combined with fpga logic. there are two devices in the ort8850 family. the ort8850l device is based on 1.5 v or4e02 orca fpga and has a 26 x 24 array of programmable logic cells (plcs). the ort8850h device is based on 1.5v or4e06 orca fpga and has a 46 x 44 array. the embedded core which contains the backplane transceivers is attached to the right side of the device and is integrated directly into the fpga array. a top level diagram of the basic chip con guration is shown in figure 1. figure 1. ort8850 top level diagram embedded core overview the ort8850 embedded core contains a pseudo-sonet block for backplane or intra-board, chip-to-chip commu- nication. the sonet block includes a high-speed interface (hsi) macrocell and a synchronous transport module (stm) macrocell. it supports eight full-duplex channels and performs data transfer, scrambling/descrambling and sonet framing at the maximum rate of 850 mbits/s. figure 2 shows a top level diagram of the ort8850 and the basic data ows through the device. fpga i/o serial work or parallel i/o embedded cor e containing 8 serial i/o channels orca series 4e based programmable logic parallel i/o serial protect or parallel i/o fpga i/o serial work i/o embedded core containing 8 serial i/o channels orca series 4e based programmable logic serial protect i/o
lattice semiconductor orca ort8850 data sheet 12 figure 2. ort8850 embedded core, top level functionality and data flow sonet logic blocks - overview the 850 mbits/s sonet logic blocks allows the ort8850 to communicate across a backplane or on a given board at an aggregate speed of 6.8 gbits/s, allowing high-speed asynchronous serial data transfer between system devices. the external serial interfaces are implemented as eight channels of bidirectional 850 mbits/s lvds links and use a pseudo-sonet framing protocol, which can be bypassed. the sonet logic blocks are organized into two quads. each quad supports four full duplex serial links (quad a contains channels aa, ab, ac, and ad while quad b contains channels ba, bb, bc, and bd). a top level block dia- gram of one channel of the sonet logic is shown in figure 3. lvds i/o buffers lvds i/o buffers i/o mux sonet logic block i/o mux i/o demux 8 8 8 8 8 8 (demux is internal to hsi & reserved reserved sonet logic block) txdxx_w_[p:n] txdxx_p_[p:n] rxdxx_w_[p:n] rxdxx_p_[p:n] din xx[7:0] note: xx=[aa, ab,bd] dout xx [7:0] fpga logic embedded core
lattice semiconductor orca ort8850 data sheet 13 figure 3. top level block diagram ort8850 embedded core sonet logic block common signals and channel aa data flow each quad can frame independently in sts-3, sts-12 or sts-48 format. if using sts-48 format all channels in the quad will be used and be treated as a single sts-48 channel using the quad sts-12 format in which each inde- pendent channel carries entire sts-12 frames. the byte order for sts-48 must be created by the designer in the fpga design. note that the recovered data will always continue to be in the same order as transmitted data. each channel contains transmit path and receive path logic, both of which are organized around high speed inter- connect (hsi) and synchronous transport mode (stm) macrocells. additional logic allows insertion and extraction of information in the transport overhead area of the sonet frame. (support for loopback and for switching between redundant serial links is also provided but is not shown in figure 3). the following sections will give an overview of the pseudo-sonet protocol supported by the ort8850 and a top level overview of the synchronous transport module (stm) and high speed interconnect (hsi) macrocells, which provide the sonet functionality. *tx_toh_ck_en *toh_inaa fpga logic common signals receive, ch. aa channel ab channel bd . . . 4:1 mux (x8) transmit, ch. aa toh functions, ch. aa fpga_sysclk sys_fp line_fp *toh_clk *rx_toh_ck_en *rx_toh_fp *toh_ck_lp_en *toh_outaa *toh_aa _en doutaa[7:0] doutaa_par doutaa _fp doutaa _spe doutaa_c1j1 doutaa _en cdr_clk_ aa dinaa[7:0] dinaa _par tx stm block rx hsi block toh insertion block note: xx = aa, ..., bd toh extraction block note: signals marked with asterisk only used in toh insert mode tx hsi block pointer processing multi- channel align rx stm block descrambler system clock rx serial data tx serial data txdxx_w_[p:n] txdxx_p_[p:n] rxdxx_w_[p:n] rxdxx_p_[p:n] i/o mux, i/o demux and lvds buffers sonet logic blocks sys_clk_[p:n] signals on package pins
lattice semiconductor orca ort8850 data sheet 14 sonet framing each 850 mbits/s serial link uses a pseudo-sonet protocol. sonet a1/a2 framing is used on the link to detect the 8 khz frame location. the link is also scrambled using the standard sonet scrambler de nition to ensure proper transitions on the link for improved cdr performance. the ort8850 can do sonet framing and scram- bling in both sts-12 and sts-3 formats. elastic buffers (fifos) are used to align each incoming sts-12 link to the local 77.76 mhz clock and 8 khz frame. these fifos will absorb delay variations between the eight channels due to timing skews between cards and along backplane traces. for greater variations, a streamlined pointer processor (pointer mover) within the stm macro will align the 8 khz frames regardless of their incoming frame position. the data rates for sonet are covered in the following table. values that fall in between those shown in the table for each mode are supported (126.00 mbits/s - 212.50 mbits/s, 504.00 mbits/s - 850.00 mbits/s). 63.00 mhz is the slowest reference clock while 106.25 mhz is the fastest reference clock frequency supported. table 2. supported sonet data rates an sts-n frame can be broadly divided into the transport overhead (toh) and the synchronous payload enve- lope (spe) areas. the toh comprises of bytes that are used for framing, error detection and various other func- tions. the start of the spe can begin at any point in a sonet frame. the start of the spe is determined using the pointer bytes located in the toh. the basic sts-1 frame is shown in figure 4. higher rate sts_n signals are cre- ated by byte interleaving n sts-1 signals. some toh bytes have slightly different functions in sts-n frames than in the basic sts-1 frame. the ort8850 offers both a transparent option and a serial insertion option for process- ing the toh bytes. figure 4. sts-1 frame format reference clock sts-12 mode sts-3 mode 63 mhz 504.00 mbits/s 126.00 mbits/s 77.76 mhz 622.08 mbits/s 155.52 mbits/s 106.25 mhz 850.00 mbits/s 212.50 mbits/s synchronous transport overhead (toh) payload envelope (spe) 3 columns 90 columns 9 rows
lattice semiconductor orca ort8850 data sheet 15 lvds reference clock the reference clock for the ort8850 serdes is an lvds input (sys_clk_[p:n]). this reference clock can run in the range from 63.00 mhz to 106.25 mhz and is used to clock the entire embedded core. this clock is also avail- able in the fpga interface as the output signal fpga_sysclk at the embedded core/fpga logic interface. the supported range of reference clock frequencies will drive the internal and link serial rates from 504 mhz to 850 mhz. for standard sonet applications a reference clock rate of 77.76 mhz will allow the ort8850 to communi- cate with standard sonet devices. if the ort8850 is communicating with another ort8850, the reference clock can run anywhere in the de ned range. when using a non 77.76 mhz reference clock, the frame pulse will now need to be derived from the non standard rate thus making the frame pulse rate not 8 khz, but rather a single clock pulse every 9720 clock cycles. system considerations for reference clock distribution there are two main system clocking architectures that can be used with the ort8850 at the system level to pro- vide the lvds reference clocks. the recommended approach is to distribute a single reference clock to all boards. however, independent clocks can be used on each board provided that they are matched with suf cient accuracy and the alignment is not used. these two approaches are summarized in the following paragraphs distributed clocking a distributed clock architecture, shown in figure 5, uses a single source for the system reference clock. this single source drives all devices on both the line and switch sides of the backplane. typically this is a lower speed clock such as a 19.44 mhz signal. an external pll on each board or and internal ort8850 fpga pll is then used to multiply the clock to the desired reference clock rate (i.e. by 4x to 77.76 mhz if the distributed clock is at 19.44 mhz). using this type of clock architecture the ort8850 data channels are fully synchronous and no domain trans- fer is required from the transmitter to the receiver. figure 5. distributed clock architecture independent clocking an independent clock architecture uses independent clock sources on each ort8850 board. with this architec- ture, for the serdes to sample correctly the independent oscillators must be within reference clock tolerance requirements for the clock and data recovery (cdr) to correctly sample the incoming data and recover data and clock. the local reference clock and the recovered clock will not be synchronous since they are created from a dif- ferent source. the alignment fifo uses the recovered clock for write and the local reference clock for read. due to 19.44 mhz. oscillator fabric cards port cards system diagram 19.44 mhz clock source 77.76 mhz clock (differential) sys_clk_p sys_clk_n pio_out_n pio_out_p fpga ppll (x4) buffer ort8850 (serdes at 622 mbps) fpga lvds pio
lattice semiconductor orca ort8850 data sheet 16 this feature the alignment fifo cannot be used with this clock architecture. the recovered clock is used for all receive timing in the embedded core and supplied to the fpga logic which must provide the clock domain transfer functionality. figure 6. independent clock architecture sonet bypass mode it is possible to utilize only the serializer and deserializer (serdes) blocks in the ort8850 and to bypass all of the sonet framing and scrambling/descrambling. in this mode the parallel data from the fpga is serialized and sent out the lvds pins. the serial data in the receive direction will be run through the serdes and then received as parallel data with a recovered clock into the fpga. in the sonet bypass mode there exists half and quarter rate selection options. half rate allows the serdes to operate at 4x the reference clock. when using half rate mode only the bits 7:4 of the parallel fpga bus are utilized. quarter rate allows the serdes to operate at 2x the reference clock. when using quarter rate mode only bits 7:6 of the parallel fpga bus are utilized. half rate and quarter rate are selectable per channel and can be mixed per channel so that some channels can run in full rate mode while others operate in half rate mode and still others operate in quarter rate mode. as shown in table 3, 63.00 mhz is the slowest reference clock and 106.25 mhz is the fastest reference clock fre- quency supported. for all three modes, all bandwidths within the reference clock limits are supported. note that there are gaps between the bandwidths supported in the three modes. table 3. sonet bypass mode bandwidth options in the sonet bypass mode a 1's density function similar to sonet scrambling must be implemented in the fpga logic to assure reliable clock recovery at the receiver. reference clock full mode half mode bits [7:4] used quarter mode bits [7:6] used 63 504.00 mbits/s 252.00 mbits/s 126.00 mbits/s 77.76 622.08mbits/s 311.04mbits/s 155.52mbits/s 106.25 850.00 mbits/s 425.00 mbits/s 212.50 mbits/s fabric cards port cards system diagram osc. osc. osc. osc. osc. board details 77.76 mhz oscillator sys_clk_p sys_clk_n * examples of typical board components lvds buffer or 77.76 mhz differential output oscillator ti sn65lvds31d* conner winfield hc54r8* ort8850 (serdes at 622 mbps)
lattice semiconductor orca ort8850 data sheet 17 stm macrocells - overview the synchronous transport module (stm) portion of the embedded core consists of two quads, stm a and b. the stm macrocells provide transmitter and receiver logic blocks on a per serdes basis channel and are located in the data path between the fpga interface and the hsi macrocell. the stm macrocells' main functions are framing and aligning data into standard sts-n frames as well as providing a 1's density through scrambling/descrambling. figure 7. stm macrocell partitioning transmit stm macrocell logic - overview in the transmit direction (fpga interface to the backplane), each stm macrocell will receive frame aligned streams of sts-12 data (maximum of four streams) from the fpga logic. the transmitter receive data interface is in a par- allel 8-bit format. a common frame pulse for all 8 channels is provided as an input from the fpga logic to the trans- mit sonet block. on each frame pulse the a1/a2 frame alignment bytes are inserted into the data stream and will overwrite any data in this location of the frame. toh data can be optionally inserted into the transmitted sonet frame. the sonet frame is then optionally scrambled and sent to the hsi macrocell. toh data can be inserted into the transmit data stream in two ways; transparently or by inserting serial toh data from a toh serial interface signal in the fpga logic. in the transparent mode, the spe and toh data received on parallel input bus is transferred, unaltered, to the serial lvds output. however, b1 byte of sts-1 is always replaced with a new calculated value (the 11 bytes following b1 are replaced with all zeros). likewise, in serial and transport mode a1 and a2 bytes of all sts-1s are always regenerated. in the toh serial insertion mode the spe bytes are transferred unaltered from the input parallel bus to the serial lvds output. toh bytes, however, are received from the fpga logic through the serial input port and are inserted in the sts- 12 frame before being sent to the lvds fpga logic i/o demux and lvds buffers txdxx_w_[p:n] txdxx_p_[p:n] note: xx = aa, ... rxdxx_w_[p:n] rxdxx_p_[p:n] sys_clk_[p:n] system clock rx serial dataaa tx serial dataaa rx serial dataab tx serial dataab rx serial dataac tx serial dataac rx serial dataad tx serial dataad rx serial databa tx serial databa rx serial databb tx serial databb rx serial databc tx serial databc rx serial databd tx serial databd common signals quad b quad a fpga_sysclk sys_fp doutaa[7:0] dinaa[7:0] doutab[7:0] dinab[7:0] doutac[7:0] dinac[7:0] doutad[7:0] dinad[7:0] doutba[7:0] dinba[7:0] doutbb[7:0] dinbb[7:0] doutbc[7:0] dinbc[7:0] doutbd[7:0] dinbd[7:0] stm macrocell channel aa channel ab channel ac channel ad channel ba channel bb channel bc channel bd
lattice semiconductor orca ort8850 data sheet 18 output. although all toh bytes from the 12 sts-1s are transferred into the device from each serial port, not all of them get inserted in the frame. there are three hard coded exceptions to the toh byte insertion: ? framing bytes (a1/a2 of all sts-1s) are not inserted from the serial input bus. instead, they can always be regenerated. ? parity byte (b1 of sts#1) is not inserted from the serial input bus. instead, it is always recalculated (the 11 bytes following b1 are replaced with all zeros). ? pointer bytes (h1/h2/h3 of all sts-1s) are not inserted from the serial input bus. instead, they always ow trans- parently from parallel input to lvds output. the data stream is scrambled in the transmit direction and descrambled in the receive direction using a frame syn- chronous scrambler of sequence length 127, operating at the line rate. the generating polynomial for the scrambler is 1+x 6 +x 7 . the polynomial conforms to the standard sonet sts-12 data format. the scrambler is reset to '1111111' on the rst byte of the spe (byte following the z0 byte in the 12th sts-1). that byte and all subsequent bytes to be scrambled are xor'd, with the output from the bytewise scrambler. the scrambler runs continuously from that byte, through the remainder of the frame. a1, a2, and j0/z0 bytes are not scrambled. the b1 byte is cal- culated (in both transmitter and receiver) on the non-scrambled data. there is a global scrambler/descrambler dis- able feature, allowing the user to disable the scrambler of the transmitter and the descrambler of the receiver. following the scrambler block, byte wide data streams are sent to the hsi macrocell. receive stm macrocell logic - overview in the receive direction (backplane to the fpga interface) each stm macrocell receives four byte wide data streams at the reference clock rate (i.e., 8 x sys_clk_[p:n] in normal operation) and four associated clocks from the hsi. the incoming streams are framed and (optionally) descrambled before they are written into a fifo which absorbs phase and delay variations and allows the shift to system clock and optionally allows frames to be aligned both between quads and between streams on the same quad. optionally, the pointer interpreter logic will then put the sts spes into a small elastic store from which the pointer generator will produce four byte wide sts-12 streams of data that are aligned to the system timing pulse. the alignment fifo depth allows for 18 clocks of difference in the arriving a1/a2. if any of the channels in an align- ment group are too far out of alignment for the fifo to absorb the difference an alarm register will indicate the error. alarm indicators can be programmed to trigger an alarm at different levels of misalignment. the multichannel alignment option allows separate serdes data channels to be byte aligned based on the sonet a1/a2 bytes. data is written into the alignment fifo using the per channel recovered clocks from the ser- des channel. data is always read from the alignment fifo using the local reference clock. (sys_clk pin, fpga_sys clk) serdes data channels can be placed into an alignment group by 2, by 4, or all 8. in by 2 mode, channels aa and ba, ab and bb, ac and bc, and ad and bd are byte aligned. in by 4 mode channels aa, ab, ac, ad and ba, bb, bc, bd are byte aligned. in the by 8 mode all of the channels are byte aligned. after the alignment fifo the receive data can optionally go through the pointer interpreter and pointer mover. the pointer interpreter will identify the sonet payload envelope (spe) and the c1(j0) bytes and the j1 bytes. for data applications where the user is simply using sonet to carry user de ned cells in the payload the spe signal is very useful as an enable to the cell processor. c1j1 for data applications can be ignored. if the pointer interpreter and pointer mover are bypassed, then the spe and c1j1 signals to the fpga logic will be always '0'.in the ort8850 each frame consists of 12 sts-1 format sub-frames. thus, in the spe region, there are 12 j1 pulses, one for each sts-1. there is one c1(j0) (current sonet speci cations use j0 instead of c1 as section trace to identify each sts-1 in an sts-n) pulse in the toh area for one frame. thus, there are a total of 12 j1 pulses and one c1(j0) pulse per frame. the c1(j0) pulse is coincident with the j0 of sts-1 #1 which is the rst byte following the last a2 byte. with the pointer interpreter option enabled, the spe ag is active when the data stream is in spe area. spe behavior is dependent on pointer movement and concatenation. note: in the toh area, h3 can also carry valid
lattice semiconductor orca ort8850 data sheet 19 data. when valid spe data is carried in this h3 slot, spe is high in this particular toh time slot also. in the spe region, if there is no valid data during any spe column, the spe signal will be set to low. after the pointer interpreter comes the pointer mover block. there is a separate pointer mover for each of the two sonet quads, a and b, each of which handles up to one sts-48 (four channels) the k1/k2 bytes and h1-ss bits are also passed through to the pointer generator so that the fpga can receive them. the pointer mover handles both concatenations inside the sts-12, and to other sts-12s inside the core. the pointer mover block can cor- rectly process any length of concatenation of sts frames (multiple of three) as long as it begins on an sts-3 boundary (i.e., sts-1 number one, four, seven, ten, etc.) and is contained within the smaller of sts-3, 12, or 48. the pointer generator block then maps the corresponding bytes into their appropriate location in the outgoing byte stream. the generator also creates offset pointers based on the location of the j1 byte as indicated by the pointer interpreter. hsi macrocell - overview the hsi macrocell consists of three functionally independent blocks: receiver, transmitter, and pll synthesizer. the hsi logic is used for clock/data recovery (cdr) and to serialize and deserialize between the 106.25 mhz byte-wide internal data buses and the 850 mbits/s serial lvds links. for a 622 mbits/s sonet stream, the hsi will perform clock and data recovery (cdr) and mux/demux between 77.76 mhz byte-wide internal data buses and 622 mbits/s serial lvds links.the transmitter block receives parallel data at its input. the mux (serializer) module performs a parallel-to-serial conversion using a clock provided by the pll/synthesizer block. the resulting serial data stream is then transmitted through the lvds driver. the receiver block receives a lvds serial data without clock at its input. based on data transitions, the receiver selects an appropriate clock phase for each channel to retime the data. the retimed data and clock are then passed to the demux (deserializer) module. the demux module performs serial-to-parallel conversion and pro- vides parallel data and clock to the sonet framer block. supervisory and test support features - overview the supervisory and test support functions provided by the ort8850 include data integrity monitoring, error inser- tion capabilities and loopback support. these functions are described in the following sections. integrity monitoring fpga parallel bus integrity: parity error checking is implemented on each of the four parallel input buses on each stm quad (a & b). "even" or "odd" parity can be selected by setting a control register bit. upon detection of an error, an alarm bit is set. this feature is on a per channel basis. note that, on parallel output ports, parity is calcu- lated over the 8-bit data bus and not on the spe and c1j1 lines. toh serial port integrity: there is ?even? parity generation on each of the four toh serial output ports. there is ?even? parity error checking on each of the four toh serial input ports. there is one parity bit embedded in the toh frame. it occupies the most signi cant bit location of a1 byte of sts#1. upon detection of an error, an alarm bit is set. this feature is on a per channel basis.lvds link integrity: there is b1 parity generation on each of the four lvds output channels. there is also performance monitoring on each of the four lvds input channels, imple- mented as b1 parity error checking. upon detection of an error, a counter is incremented (one count per errored bit) and an alarm bit is set. the counter is 7-bits wide plus 1 over ow indicator bit. this feature is on a per channel basis. framer monitor: the framer in the receive direction will report loss of frame by setting an alarm bit, as well as a lof count and errored frame count. the lof alarm bit is not clearable as long as the channel is in the lof state. in addition, the errored frame count represents errored frames, and will not increment more than once per frame even if there are multiple errors. receiver internal path integrity: there is "even" parity generation in the receiver section (after descrambler). there is also "even" parity error checking in the receiver section (before output). upon detection of an error, an alarm bit is set. this feature is on a per channel basis.
lattice semiconductor orca ort8850 data sheet 20 pointer mover performance monitoring: there is pointer mover performance monitoring in the receiver section. alarm indication signals (ais-p) and elastic store over ows are reported. ais-p is implemented as a per sts-1 alarm bit. elastic store over ow will cause an alarm bit to be set on a per sts-1 basis. fifo aligner monitoring: there is monitoring of the fifo aligner operating point, and upon deviating from the nom- inal operating point of the fifo by more than user programmable threshold values (min and max threshold values), an alarm bit is set. threshold values are de ned per device; alarm ags are per channel. frame offset monitoring: there is monitoring of the frame offset between all enabled channels (disabled channels do not interfere with the monitoring). monitoring is performed continuously. upon exceeding the maximum allowed frame offset (18 bytes) between all enabled channels, an alarm bit is set. error insertion a1/a2 error insert: there is a frame error inject feature in the transmitter section, allowing the user to replace framing bytes a1/a2 (only last a1 byte and rst a2 byte) with a selectable a1/a2 byte value for a selectable number of consecutive frames. the number of consecutive frames to alter is speci ed by a 4-bit eld, while a1/a2 value is speci ed by two 8-bit elds. the error insert feature is on a per channel basis, a1/ a2 values and 4-bit frame count value are on a per device basis. b1 error insert: there is a b1 error insert feature in the transmitter section, allowing the user to insert errors on user selectable bits in the b1 byte. errors are created by simply inverting bit values. bits to invert are speci ed through an 8-bit control. to insert an error, software will rst set the bits in the "transmitter b1 error insert mask". then, on a per channel basis software will write a one to the "b1 error insert command". the insertion circuitry per- forms a rising edge detect on the bit, and will issue a corruption signal for the next frame, for one frame only. this feature is on a per channel basis. toh serial output port parity error insert: there is a parity error inject feature, in the receive section, allowing the user to invert the parity bit of each serial output port. this feature inserts a single error. this feature is on a per channel basis. parallel output bus parity error insert: there is a parity error inject feature, in the receive section, allowing the user to invert parity lines (doutxx_par) associated with each output parallel busses (doutxx[7:0]). this feature inserts a single error. this feature is on a per channel basis. this feature supports both 'even' and 'odd' parities. loopback there are two types of loopback that can be utilized inside the embedded asic core of the ort8850, near end loopback and far end (line side) loopback. both of these loopbacks are controlled by control registers inside the ort8850 core, which are accessible from the system bus and the microprocessor interface (mpi). in both loop- back modes, all channels are placed with a single control. the data paths in the two loopback modes are shown in figure 8.
lattice semiconductor orca ort8850 data sheet 21 figure 8. data paths for near-end and far-end loopbacks (single channel) near end loopback is a loopback of data from the fpga transmit into the core and back out of the core to the fpga. this loopback mode is good for simulation since two ort8850 devices do not have to be included in the simulation test bench. it is also ideal for system debugging when only working with a single card. there are two depths to the near end loopback, cdr and lvds. the cdr near end loopback performs the loopback inside the cdr itself. lvds near end loopback does the loopback just before data is sent out of the lvds transmit pins. in all near end loopbacks the transmit data is still sent out of the lvds pins. far end loopback is a loopback of the high speed data on the backplane side. serial data is transmitted into the device from the backplane and then looped back to the backplane side. this loopback is good for backplane con- nectivity tests and backplane integrity type tests. the actual loopback of data is performed inside the pointer mover block. in this mode the sys_fp signal from the fpga logic to the embedded core must provide an 8khz frame pulse. it should also be noted that during the bypass of the pointer mover block, the far end loopback cannot be performed inside the embedded asic block. in that case it can be coded to be performed inside the fpga. protection switching - overview the ort8850 supports 1:1 redundancy within both the transmit and receive data paths. work/protect selection is controlled by a control register bit which can be set using the system bus or the external microprocessor interface. protection switching allows a pair of serdes channels to act as main and protect data links. on the transmit side, a simple broadcast mode is used and the same data is transmitted across both work and protect interfaces. all data channels have receive work and protect switching capability. there are two types of receive protection switching supported. the switching can be performed at the parallel interface to the fpga or at the interface to the lvds buffers. parallel protection switching (figure 9) takes place just before the fpga interface ports and after the alignment fifo. the alignment fifo must be used for this type of protection switching. in this mode serdes channels aa and ab are used as main and protect. when selected for main channel aa is used to provide data on fpga interface ports aa. when selected for protect channel ab is used to provide data on fpga interface ports parallel loopback connection test equipment or remote system card (a) near end loopback embedded core 2 2 fpga logic m n data checking data generation non-functional active (to eye diagram measurement or remote system card) receive transmit ort8850 device under test (dut) lvds buffer lvds buffer high speed serial loopback connection 32 test equipment or logic on local system card txdxx_[w:p]_[ p:n] rxdxx_[w:p]_[p:n] (b) far end loopback serdes and lvds buffers embedded core fpga logic m n non-functional receive transmit ort8850 device under test (dut) 2 2 data checking data generation rx sonet tx sonet txdxx_[w:p]_[ p:n] note: xx = aa, ..., bd rxdxx_[w:p]_[p:n] active (to logic on local system card)
lattice semiconductor orca ort8850 data sheet 22 aa. this same scheme is used for channels groupings of ac/ad, ba/bb, and bc/bd. for quad protection when the alignment fifos are to be used, the protection switching must be done in fpga logic. figure 9. parallel protection switching lvds protection switching (figure 10) takes place at the lvds buffer before the serial data is sent into the cdr. the selection is between the main lvds buffer and the protect lvds buffer. the main lvds buffer provide the main receive data on rxdxx_w_[p:n] while the protect lvds buffers provide protection receive data on rxdxx_p_[p:n]. when operating using the main lvds buffers (default) no status information is available on the protect lvds buffers since the serial stream must reach the sonet framer before status information is available on the data stream. the same is also true for the main lvds buffers when operating with the protect buffers. figure 10. lvds protection switching see table 17 and table 18 and the accompanying text for details and register settings for the protection switching options. fpsc con guration - overview con guration of the ort8850 occurs in two stages: fpga bit stream con guration and embedded core setup. fpga con guration - overview prior to becoming operational, the fpga goes through a sequence of states, including power-up, initialization, con- guration, start-up, and operation. the fpga logic is con gured by the standard fpga bit stream con guration means as discussed in the series 4 fpga data sheet. the options for the embedded core are set via registers that are accessed through the fpga system bus. the system bus can be driven by an external ppc compliant micro- processor via the mpi block or via a user master interface in fpga logic. a simple ip block, that drives the system by using the user interface and uses very little fpga logic, is available in the mpi/system bus technical note (tn1017). this ip block sets up the embedded core via a state machine and allows the ort8850 to work in an independent system without an external microprocessor interface. embedded core setup all options for the operation of the core are con gured according to the memory map shown in table 19. during the power-up sequence, the ort8850 device (fpga programmable circuit and the core) is held in reset. all the lvds output buffers and other output buffers are held in 3-state. all flip-flops in the core area are in reset state, with the exception of the boundry-scan shift registers, which can only be reset by boundary-scan reset. after power-up reset, the fpga can start con guration. during fpga con guration, the ort8850 core will be held in work protect parallel tx data (from fpga) transmit channel aa channel ab etc. sonet and hsi blocks protect work/protect select receive parallel rx data (to fpga) channel aa channel ab etc. sonet and hsi blocks work work (to work lvds buffer) protect (to protect lvds buffer) from tx serdes transmit work (from work lvds buffer) protect (from protect lvds buffer) work/protect select to cdr receive
lattice semiconductor orca ort8850 data sheet 23 reset and all the local bus interface signals forced high, but the following active-high signals, prot_switch_aa, prot_switch_ac, prot_switch_ba, prot_switch_bc, tx_toh_ck_en, sys_fp, line_fp, will be forced low. the core_ready signal sent from the embedded core to fpga is held low, indicating that the core is not ready to interact with fpga logic. at the end of the fpga con guration sequence, the core_ready signal will be held low for six sys_clk cycles after done, tri_io and rst_n (core global reset) are high. then it will go active-high, indicating the embedded core is ready to function and interact with fpga programmable circuit. during fpga recon guration when done and tri_io are low, the core_ready signal sent from the core to fpga will be held low again to indicate the embedded core is not ready to interact with fpga logic. during fpga partial con- guration, core_ready stays active. the same fpga con guration sequence described previously will repeat again. the initialization of the embedded core consists of two steps: register con guration and synchronization of the alignment fifo. the steps to con gure the ort8850 device for normal operation are listed in table 4 and table 5. generic backplane transceiver application independent channels, transparent toh: table 4 lists the register values to setup the ort8850 as eight inde- pendent sonet channels (no alignment) using transparent toh. the order is speci c. the values are given from the powerpc point of view. if using the mpi to write data to the ort8850, the value given in the table is the value that should be used. if using the umi of the system bus, the data value would need to be byte ipped. table 4. independent channels, transparent toh register address value description 0x30004 0x05 lock register. this value must be written to allow writing to any other ort8850 core register 0x30005 0x80 lock register. this value must be written to allow writing to any other ort8850 core register 0x30020 0x07 turn on channel aa in functional mode 0x30021 0xff channel aa - transparent toh from parallel data 0x30022 0xff channel aa - transparent toh from parallel data 0x30038 0x07 turn on channel ab in functional mode 0x30030 0xff channel ab - transparent toh from parallel data 0x3003a 0xff channel ab - transparent toh from parallel data 0x30050 0x07 turn on channel ac function mode 0x30051 0xff channel ac - transparent toh from parallel data 0x30052 0xff channel ab - transparent toh from parallel data 0x30068 0x07 turn on channel ad in functional mode 0x30069 0xff channel ad - transparent toh from parallel data 0x3006a 0xff channel ad - transparent toh from parallel data 0x30080 0x07 turn on channel ba functional mode 0x30081 0xff channel ba- transparent toh from parallel data 0x30082 0xff channel ad - transparent toh from parallel data 0x30098 0x07 turn on channel bb in functional mode 0x30099 0xff channel bb- transparent toh from parallel data 0x3009a 0xff channel bb- transparent toh from parallel data 0x300b0 0x07 turn on channel bc in functional mode 0x300b1 0xff channel bc- transparent toh from parallel data 0x300b2 0xff channel bc - transparent toh from parallel data 0x300c8 0x07 turn on channel bd in functional mode 0x300c9 0xff channel bd - transparent toh from parallel data 0x300ca 0xff channel bd - transparent toh from parallel data
lattice semiconductor orca ort8850 data sheet 24 channel alignment, transparent toh: table 5 lists the register values to setup the ort8850 as 4 channel align- ment sonet channels using transparent toh. the order is speci c. the values are given from the powerpc point of view. if using the mpi to write data to the ort8850, the value given in the table is the value that should be used. if using the umi of the system bus, the data value would need to be byte ipped. table 5. channel alignment, transparent toh register address value description initial register settings 0x30004 0x05 lock register. this value must be written to allow writing to any other ort8850 core register 0x30005 0x80 lock register. this value must be written to allow writing to any other ort8850 core register 0x30020 0x47 turn on channel aa in functional mode with ais-l 0x30021 0xff channel aa - transparent toh from parallel data 0x30022 0xff channel aa - transparent toh from parallel data 0x30037 0x08 channel aa- aligned by 4 (quad a) 0x30038 0x47 turn on channel ab function mode with ais-l 0x30039 0xff channel ab - transparent toh from parallel data 0x3003a 0xff channel ab - transparent toh from parallel data 0x3004f 0x08 channel ab - aligned by 4 (quad a) 0x30050 0x47 turn on channel ac function mode with ais-l 0x30051 0xff channel ac - transparent toh from parallel data 0x30052 0xff channel ad - transparent toh from parallel data 0x30067 0x08 channel ac- aligned by 4 (quad a) 0x30068 0x47 turn on channel ad function mode with ais-l 0x30069 0xff channel ad- transparent toh from parallel data 0x3006a 0xff channel ad - transparent toh from parallel data 0x3007f 0x08 channel ac- aligned by 4 (quad a) 0x30080 0x47 turn on channel ba function mode with ais-l 0x30081 0xff channel ba- transparent toh from parallel data 0x30082 0xff channel ba- transparent toh from parallel data 0x30097 0x08 channel ba- aligned by 4 (quad b) 0x30098 0x47 turn on channel bb function mode with ais-l 0x30099 0xff channel bb- transparent toh from parallel data 0x3009a 0xff channel bb - transparent toh from parallel data 0x300af 0x08 channel bb - aligned by 4 ( quad b) 0x300b0 0x47 turn on channel bc function mode with ais-l 0x300b1 0xff channel bc - transparent toh from parallel data 0x300b2 0xff channel bc - transparent toh from parallel data 0x300c7 0x08 channel bc - aligned by 4 ( quad b) 0x300c8 0x47 turn on channel bd function mode with ais-l 0x300c9 0xff channel bd - transparent toh from parallel data 0x300ca 0xff channel bd - transparent toh from parallel data 0x300df 0x08 channel bd - aligned by 4 ( quad b) wait for 4 sonet frames to establish an in-frame state (~500us) 0x30018 0x0c alignment command to resync quad a and quad b, then modify register settings as follows. write 0x00 to clear register for normal operation. 0x30020 0x07 channel aa in functional mode without ais-l
lattice semiconductor orca ort8850 data sheet 25 backplane transceiver core detailed description sonet logic blocks, detailed description the following sections describe the data processing performed in the sonet logic blocks. a 622 mbits/s is assumed in the descriptions however, as noted in the overview sections, the ort8850 can operate at variable rates up to 850 mbits/s. at a top level, the descriptions are separated into processing in the transmit path (fpga to serial link) and processing in the receive path (serial link to fpga). a top level drawing of the two data paths and associated clocks is shown in figure 11. the various processing options are selected by setting bits in control reg- isters and status information is written to status registers. both types of registers can be written and/or read from the system bus or the microprocessor interface (mpi). memory maps and descriptions for the registers are given in table 19. figure 11. ort8850 top level data flow 0x30038 0x07 channel ab in functional mode without ais-l 0x30050 0x07 channel ac in functional mode without ais-l 0x30068 0x07 channel ad in functional mode without ais-l 0x30080 0x07 channel ba in functional mode without ais-l 0x30098 0x07 channel bb in functional mode without ais-l 0x300b0 0x07 channel bc in functional mode without ais-l 0x300c8 0x07 channel bd in functional mode without ais-l table 5. channel alignment, transparent toh (continued) register address value description initial register settings pfu fpga logic sonet serdes register bits bypass 8 - bit 8-bit 8-bit 1- bit sonet serdes bypass register bits alignment fifo -fpga_sysclk or cdr_clk_xx -fpga_sysclk if alignment fifo is used 8-bit 8-bit 1- bit register bit pointer mover / doutxx[7:0] fpga_sysclk dinxx[7:0] system clock rx serial data tx serial data i/o mux, i/o demux and lvds buffers pll pfu 8 - bit 8- bit -per channel cdr_clk_xx if alignment fifo is not used 8-bit 8 -bit - interpreter receive (rx) path transmit (tx) path embedded core
lattice semiconductor orca ort8850 data sheet 26 byte ordering in sonet frames the ort8850 expects byte ordering in the sonet frames to be in the standard byte interleaved format per the gr-253 sonet standard. byte ordering is the same in both the transmit and receive direction and treats the data as multiple sts-1 frames. when using the ort8850 in sts-3 format both the transmitter and receiver device must be framed, based on sts-3 format. likewise for the sts-12 format, both must operate in sts-12 format. table 6. byte ordering, sts-3 format table 7. byte ordering, sts-12 format sts-3 a --> 3 3 3 3 2 2 2 2 1 1 1 1 sts-3 b --> 3 3 3 3 2 2 2 2 1 1 1 1 sts-3 c --> 3 3 3 3 2 2 2 2 1 1 1 1 sts-3 d --> 3 3 3 3 2 2 2 2 1 1 1 1 sts-12 a --> 12 9 6 3 11 8 5 2 10 7 4 1 sts-12 b --> 12 9 6 3 11 8 5 2 10 7 4 1 sts-12 c --> 12 9 6 3 11 8 5 2 10 7 4 1 sts-12 d --> 12 9 6 3 11 8 5 2 10 7 4 1
lattice semiconductor orca ort8850 data sheet 27 table 8. byte ordering, quad sts-12 (oc-48) format all internal framing is based on the system frame pulse (sys_fp) which is a one-cycle pulse at an 8khz rate. there is one system frame pulse for all 8 channels or both quads. when the framer receives the system frame pulse the individual overhead bytes are identi ed. hsi macrocell the ort8850 high-speed interface (hsi) provides a physical medium for high-speed asynchronous serial data transfer between asic devices. the devices can be mounted on the same pc board or mounted on different boards and connected through the shelf back-plane. the ort8850 cdr macro is an eight-channel clock-phase select (cps) and data retime function with serial-to-parallel demultiplexing for the incoming data stream and paral- lel-to-serial multiplexing for outgoing data. the ort8850 uses an eight-channel hsi macro cell. the hsi macro consists of three functionally independent blocks: receiver, transmitter, and pll synthesizer. the pll synthesizer block generates the necessary 850 mhz clock for operation from a 106.25 mhz, reference. the pll synthesizer block is a common asset shared by all eight receive and transmit channels. the pll refer- ence clock must match the interface frequency. the hsi_rx block receives differential 850 mbits/s serial data without clock at its lvds receiver input. based on data transitions, the receiver selects an appropriate 850 mhz clock phase for each channel to retime the data. the retimed data and clock are then passed to the demux (deserializer) module. demux module performs serial-to- parallel conversion and provides the 106 mbits/s data and clock. the hsi_tx block receives 106 mbits/s parallel data at its input. mux (serializer) module performs a parallel-to- serial conversion using an 850 mhz clock provided by the pll/synthesizer block. the resulting 850 mbits/s serial data stream is then transmitted through the lvds driver. the loopback feature built into the hsi macro provides looping of the transmitter data output into the receiver input when desired. all rate examples described here are the maximum rates possible. the actual hsi internal clock rate is determined by the provided reference clock rate. for example, if a 77.76 mhz reference clock is provided, the hsi macro will operate at 622 mbits/s. transmit path logic in the transmit direction each stm quad will receive frame aligned streams of sts-12 data (maximum of four streams per quad) from the fpga logic. the transmitter receives data interface in a parallel 8-bit format. a com- mon frame pulse for all 8 channels is provided as an input from the fpga logic to the transmit sonet block. the system frame pulse is a single pulse at the reference clock rate every 9720 clock cycles. for a 77.76 mhz ref- erence clock this creates an 8khz pulse rate. the system frame pulse (sys_fp) is used to generate the a1/a2 in the transmit direction. it is also used by the pointer mover block to perform the line side loopback, which otherwise uses the line_fp frame pulse also provided by the user from the fpga to the embeddded asic block. the func- tion of the line_fp is mentioned in the pointer mover bypass description. the system frame pulse is common to all channels in the transmit direction. once it is received from the fpga logic, the data to be transmitted goes through the following processing steps: ? a parity check is performed on the data ? the transport overhead (toh) data is modi ed (optional) sts-12 a --> 12 9 6 3 11 8 5 2 10 7 4 1 sts-12 b --> 24 21 18 15 23 20 17 14 22 19 16 13 sts-12 c --> 36 33 30 27 35 32 29 26 34 31 28 25 sts-12 d --> 48 45 42 39 47 44 41 38 46 43 40 37
lattice semiconductor orca ort8850 data sheet 28 ? a1 and a2 framing bits are inserted (errored bits may optionally be inserted) ? the bit interleaved parity bit (b1) for the previously transmitted frame is inserted ? the data is scrambled using the standard sts-12 polynomial (optional) ? a parallel to serial conversion is performed on the data ? the serial data is broadcast to the work and protect lvds buffers these processing steps are described in more detail in the following sections. a block diagram of the transmit path logic is shown in figure 12. all processing except the parallel to serial conversion is optional. if all processing except the serdes is deselected, the device is said to be operating in the "bypass" mode. figure 12. basic logic blocks, transmit path, single channel parity checking parity error checking is implemented on each of the four parallel input buses on each stm quad (a & b) on a per channel basis. "even" or "odd" parity can be selected by setting a control register bit. upon detection of an error, an alarm bit in a status register is set. there is also even parity error checking on each of the four toh serial input ports on a per channel basis. upon detection of an error, an alarm bit in a status register is set. toh byte modi cation the transport overhead bytes of the sonet frame can be used for in-band con guration, service, and manage- ment since it is carried along the same channel as data. in the ort8850 in-band signaling can be ef ciently uti- lized, since the total cost of overhead is only 3.3%. toh data can be inserted into the transmit data stream in one of two ways, the transparent insertion mode and the serial toh insertion mode. the overhead bytes in an sts-1 header are shown in figure 13. (the path overhead bytes are in the spe.) logic common to both quads note: xx=[aa, ab, bd] (from control registers) sys_fp dinxx [7:0] dinxx _par txdxx_w_[p:n] txdxx_p_[p:n] 8 2 fpga logic embedded core sonet logic backplane serial links i/o muxs and lvds buffers to other 7 channels lvds buffer lvds buffer 2 parallel to serial convert scrambler (optional) parity check repeater (for sts 3) toh insert (opt.) a1a2 insert (opt.) b1 insert (opt.) b1 calc. prev. b1 hold toh serial to parallel convert insert a1a2 error insert b1 error odd or even (from control register) pll tx_toh_ck_en toh_ inxx 77.78 mhz 622 mhz fpga_sysclk 2 lvds buffer sys_clk_[p:n] toh_clk to other 7 channels to other 7 channels
lattice semiconductor orca ort8850 data sheet 29 figure 13. sonet overhead bytes when used in true sonet applications, most toh bytes would be generated in the fpga logic or by an external device. the toh bytes have the following functions. table 9 and table 10 show how the embedded core modi es these bytes in the transmit direction and table 12 shows how the bytes are modi ed in the receive direction. section overhead bytes: ? a1, a2 - these bytes are used for framing and to mark the beginning of a sonet frame. a1 has the value 0xf6 and a2 has the value 0x28. ? c1/j0 - section trace message - this byte carries the section trace message. the message is interpreted to ver- ify connectivity to a particular node in the network. ? b1 - section bit interleaved parity (bip-8) byte - this byte carries the parity information which is used to check for transmission errors in a section. the computed parity value is transmitted in the next frame in the b1 position. it is de ned only for the rst sts-1 of a sts-n signal. the other bytes have a default value of 0x00. ? e1 - section orderwire byte - this byte carries local orderwire information, which provides for a 64 kbits/s voice channel between two section termination equipment (ste) devices. ? f1 - section user channel byte - this byte provides a 64 kbits/s user channel which can be used in a proprietary fashion. ? d1, d2, d3 - section data communications channel (sdcc) bytes - these bytes provide a 192 kbits/s channel for transmission of information across stes. this information could be for control and con guration, status mon- itoring, alarms, network administration data etc. line overhead bytes: ? h1, h2 - sts payload pointers (h1 and h2) - these bytes are used to locate the start of the spe in a sonet frame. these two bytes contain the offset value, in bytes, between the pointer bytes and the start of the spe. these bytes are used for all the sts-1 signals contained in an sts-n signal to indicate the individual starting positions of the spes. they bytes also contain justi cation indications, concatenation indications and path alarm indication (ais-p). ? h3 - pointer action byte (h3) - this byte is used during frequency justi cations. when a negative justi cation is performed, one extra payload byte is inserted into the sonet frame. the h3 byte is used to hold this extra byte j1 b3 c2 h4 g1 f2 z4 z5 z6 a1 a2 c1/j0 b1 e1 f1 d1 d2 d3 h1 h2 h3 b2 k1 k2 d4 d5 d6 d7 d8 d9 d10 d11 d12 s1/z1 z3 e2 j1 b3 c2 h4 g1 f2 z4 z5 z6 0 1 4 3 2 5 6 7 8 transport overhead path overhead 012 line overhead section overhead
lattice semiconductor orca ort8850 data sheet 30 and is hence called the pointer action byte. when justi cation is not being performed, this byte contains a default value of 0x00. ? b2 - line bit-interleaved parity code (bip-8) byte - this byte carries the parity information which is used to check for transmission errors in a line. this is a even parity computed over all the bytes of the frame, except section overhead bytes, before scrambling. the computed parity value is transmitted in the next frame in the b2 position. this byte is de ned for all the sts-1signals in an sts-n signal. ? k1, k2 - automatic protection switching (aps channel) bytes - these bytes carry the aps information. they are used for implementing automatic protection switching and for transmitting the line alarm indication signal (ais-l) and the remote defect indication (rdi-l) signal. ? d4 to d12 - line data communications channel (dcc) bytes - these bytes provide a 576 kbits/s channel for transmission of information. ? s1- synchronization status - this byte carries the synchronization status of the network element. it is located in the rst sts-1 of an sts-n. bits 5 through 8 (as de ned in gr-253) of this byte carry the synchronization status. ? z1 - growth - this byte is located in the second through nth sts-1s of an sts-n and are allocated for future growth. an sts-1 signal does not contain a z1 byte. ? m0 - sts-1 rei-l - this byte is de ned only for sts-1 signals and is used to convey the line remote error indi- cation (rei-l). the rei-l is the count of the number of b2 parity errors detected by an lte and is transmitted to its peer lte as feedback information. bits 5 through 8 of this byte are used for this function. ? e2 - orderwire byte - this byte carries for line orderwire information. in the ort8850 transmit path, the toh processing is con ned to the framing and byte interleaved parity bytes. the remaining bytes are either passed through transparently or inserted from data sent from the serial toh interface. in the receive direction, the toh bytes are stripped and optionally sent to the fpga logic through the serial toh interface. regenerated framing bytes are sent to the fpga on the parallel data bus. the aps bytes k1 and k2 can be optionally passed through the pointer mover under software control, or can be set to zero. the header bytes, j0 and c1 are also detected and used by the receive path, as will be discussed in a later section. the serial toh processing block is clocked by the toh_clk. if using the toh bytes in the serial insertion mode to support a communication channel, this toh_clk should be driven from the fpga interface. the toh processor operates from 25 mhz to 106 mhz. a domain clock transfer takes place inside the toh block and the toh proces- sor does not need to run as fast as the data. transparent insert mode in the transmit direction the spe and toh data received on parallel input bus is transferred unaltered to the serial lvds output. however, b1 byte of sts-1 is always replaced with a new calculated value (the 11 bytes following b1 are replaced with all zeros). also, a1 and a2 bytes of all sts-1s are always regenerated. the source for the toh bytes in the transparent mode is summarized in table 9. (the order of transmission is row by row, left to right, and then from top to bottom [most signi cant bit rst]. spe bytes are not shown.) table 9. transmitter toh on lvds output (transparent mode) a1 a1 a1 a1 a1 a1 a1 a1 a1 a1 a1 a1 a2 a2 a2 a2 a2 a2 a2 a2 a2 a2 a2 a2 b1 0 0 0 0 0 0 0 0 0 0 0 regenerated bytes. transparent bytes from parallel input port.
lattice semiconductor orca ort8850 data sheet 31 serial toh insertion mode in the transmit direction the spe bytes are always transferred unaltered from the input parallel bus to the serial lvds output. on the other hand, toh bytes are received from the serial input port and are inserted in the sts-12 frame before being sent to the lvds output in the serial toh insertion mode. the fpga logic must provide fram- ing information to the core using the tx_toh_ck_en input signal. toh data is input on a row by row basis, with a one clock cycle frame pulse delineating the start of a row, as shown in figure 14. as shown in the gure, while the spe bytes are being transmitted for one row, the fpga logic must simultaneously supply the core with the toh data for the next row. detailed timing for the toh serial input is shown later in figure 31. figure 14. toh serial port input framing signals (fpga to core) incoming serial toh data is synchronized initially to the free running clock, toh_clk. toh_clk can operate from a minimum frequency of 25 mhz. to a maximum frequency of 106 mhz. toh bytes are transferred in the order shown in figure 15. bytes are transferred over the serial links with the msb rst. data should be transferred over the serial link on a row-by-row basis. with three toh bytes/per row for each sts-1 stream and a total of 12 sts-1 streams per sts-12 frame, a total of 288 toh bits must be transferred for each row. the 288 toh bits per row can be sent back-to-back. in this case, tx_to_clk_en will be high continuously for 288 toh_clk cycles. it is the responsibility of the user to synchronize transfer of the toh bytes to a pre-determined window of time rela- tive to the sts-12 frame position on the parallel input bus, i.e., the 36 toh bytes to be inserted in row number n must be transferred to the core during the time the spe bytes of row n-1 are being transferred to the core over the parallel input bus. within each spe row, a guard band of four toh_clk cycles must be provided on each side of the toh transfer window. no data may be transferred in these guard bands. fpga_sysclk sys_fp dinxx[7:0] toh_clk tx_t oh_ck_en row 1 toh_inxx 36 bytes toh bit 6 of b1 b yte sts1 #1 msbit(7) of b1 b yte sts1 #1
lattice semiconductor orca ort8850 data sheet 32 figure 15. toh serial port input framing signals (fpga to core) although all toh bytes from the 12 sts-1s are transferred into the device from each serial port, not all of them get inserted in the frame. there are three hard coded exceptions to the toh byte insertion: ? framing bytes (a1/a2 of all sts-1s) are not inserted from the serial input bus. instead, they can always be regenerated. ? parity byte (b1 of sts#1) is not inserted from the serial input bus. instead, it is always recalculated (the 11 bytes following b1 are replaced with all zeros). ? pointer bytes (h1/h2/h3 of all sts-1s) are not inserted from the serial input bus. instead, they always ow trans- parently from parallel input to lvds output. except for the above hardcode exceptions, the source of some toh bytes can be controlled by bits in the control registers. the 12 sts-1 bytes forming a single sts-12 toh header block are controlled as a whole. when con g- ured to be in the transparent mode, the speci c bytes must ow transparently from the parallel input. the 15 over- head bytes that can be controlled on a per sts-1 basis are the following: ? k1 and k2 bytes of the 12 sts-1s (24 bytes) ? s1 and m0 bytes of the 12 sts-1s (24 bytes) ? e1, f1, e2 bytes of the sts-1s (36 bytes) ? d1 through d12 bytes of the sts-1s (144 bytes) the c1(j0) and b2 bytes (unshaded in the following table) are also passed through transparently from the parallel bus to the serial link. table 10 shows the order in which data is transferred to the serial lvds output, starting with the most signi cant bit of the rst a1 byte. the rst bit of the rst byte is replaced by an even parity check bit over all toh bytes from the previous toh frame. the source for the toh bytes in the serial toh insert mode is summarized in the table. a1 a1 a1 a2 j0 row n-1 row n etc. b1 b1 b1 e1 f1 for sts1 #1 for sts1 #2 for sts1 #12 for sts1 #1 for sts1 #12 . . . . . . . . . . . . . . . . . . transparent insert toh data on parallel input bus spe data on parallel input bus guardband of 4 toh_clk cycles guardband of 4 toh_clk cycles window to send toh bytes b1, e1 and f1 for all 12 sts1's on serial input bus window to send toh bytes d1, d2 and d3 for all 12 sts1's on serial input bus
lattice semiconductor orca ort8850 data sheet 33 table 10. transmitter toh on lvds output (toh insert mode) repeater this block is essentially the inverse of the sampler block discussed in the receive path description section. it receives byte-wide sts-12 rate data from the toh insert block. in order to support the sts-3 mode of operation, the hsi (622 mbits/s) can be connected to a slower speed device (e.g., 155 mbits/s). the purpose of this block is to rearrange the data being fed to the hsi so that each bit is transmitted four times, thus simulating 155 mbits/s serial data. in sts-3 mode, the incoming sts-12 stream is composed of four identical sts-3s so only every fourth byte is used. the bit expansion process takes a single byte and stretches it to take up 4 bytes each consisting of 4 cop- ies of the 8 bits from the original byte. a1/a2 processing the a1 and a2 bytes provide a special framing pattern that indicates where a sts-1 begins in a bit stream. all 12 a1 bytes of each sts-12 are set to 0xf6, and all 12 a2 bytes are set to 0x28 automatically by the sonet framer. the latency from the transmit of the rst bit of the a1 byte at the device output pins from the system frame pulse on the fpga interface is between ve to seven clock cycles of the reference clock (fpga_sysclk). the a1 and a2 bytes can also be intentionally corrupted for testing by the a1/a2 error insert control register (0x3000d, 0x3000e). only the last a1 and rst a2 are corrupted. when a1/a2 corruption detection is set for a par- ticular channel, the a1/a2 values in the corrupted a1/a2 value registers are sent for the number of frames de ned in the corrupted a1/a2 frame count register (0x3000c). when the corrupted a1/a2 frame count register is set to 0x00, a1/a2 corruption will continue until the a1/a2 error insert register is cleared. the ort8850 device only has one control register to set the a1/a2 bytes as well as the number of frames of cor- ruption. to insert the corrupted a1/a2 each channel has an enable a1/a2 insert register. when the per channel error insert register bit is set, the a1/a2 values are corrupted for the number speci ed in the number of frames to corrupt. to insert errors again, the per channel error insert register bit must be cleared, and set again. it is also possible to not insert the a1/a2 framing bytes using the per channel register bit ?disable a1/a2 insert.? b1 processing in the transmit direction a bit interleaved parity (bip-8) error check set for even parity over all the bits of an sts-1 frame b1 is de ned for the rst sts-1 in an sts-12 only, the b1 calculation block computes a bip-8 code, using even parity over all bits of the previous sts-12 frame after scrambling and is inserted in the b1 byte of the current sts-12 frame before scrambling. per-bit b1 corruption is controlled by the force bip-8 corruption register (0x3000f). for any bit set in this register, the corresponding bit in the calculated bip-8 is inverted before insertion into the b1 byte position. each stream has an independent fault insert register that enables the inversion of the b1 bytes. b1 bytes in all other sts- 1s in the stream are lled with zeros. it is also possible to not insert b1 and the subsequent 11 bytes of zeros using the per channel register bit "disable b1 insert." a1 a1 a1 a1 a1 a1 a1 a1 a1 a1 a1 a1 a2 a2 a2 a2 a2 a2 a2 a2 a2 a2 a2 a2 j0 j0 j0 j0 j0 j0 j0 j0 j0 j0 j0 j0 b1 0 0 0 0 0 0 0 0 0 0 0 e1 e1 e1 e1 e1 e1 e1 e1 e1 e1 e1 e1 f1 f1 f1 f1 f1 f1 f1 f1 f1 f1 f1 f1 d1 d1 d1 d1 d1 d1 d1 d1 d1 d1 d1 d1 d2 d2 d2 d2 d2 d2 d2 d2 d2 d2 d2 d2 d3 d3 d3 d3 d3 d3 d3 d3 d3 d3 d3 d3 h1 h1 h1 h1 h1 h1 h1 h1 h1 h1 h1 h1 h2 h2 h2 h2 h2 h2 h2 h2 h2 h2 h2 h2 h3 h3 h3 h3 h3 h3 h3 h3 h3 h3 h3 h3 b2 b2 b2 b2 b2 b2 b2 b2 b2 b2 b2 b2 k1 k1 k1 k1 k1 k1 k1 k1 k1 k1 k1 k1 k2 k2 k2 k2 k2 k2 k2 k2 k2 k2 k2 k2 d4 d4 d4 d4 d4 d4 d4 d4 d4 d4 d4 d4 d5 d5 d5 d5 d5 d5 d5 d5 d5 d5 d5 d5 d6 d6 d6 d6 d6 d6 d6 d6 d6 d6 d6 d6 d7 d7 d7 d7 d7 d7 d7 d7 d7 d7 d7 d7 d8 d8 d8 d8 d8 d8 d8 d8 d8 d8 d8 d8 d9 d9 d9 d9 d9 d9 d9 d9 d9 d9 d9 d9 d10 d10 d10 d10 d10 d10 d10 d10 d10 d10 d10 d10 d11 d11 d11 d11 d11 d11 d11 d11 d11 d11 d11 d11 d12 d12 d12 d12 d12 d12 d12 d12 d12 d12 d12 d12 s1 s1 s1 s1 s1 s1 s1 s1 s1 s1 s1 s1 m0 m0 m0 m0 m0 m0 m0 m0 m0 m0 m0 m0 e2 e2 e2 e2 e2 e2 e2 e2 e2 e2 e2 e2 regenerated bytes. bytes optionally inserted from toh serial port data or transparently forwarded from parallel input port bytes transparently forwarded from parallel input port bytes transparently forwarded from parallel input port
lattice semiconductor orca ort8850 data sheet 34 scrambling to ensure a 1's density for the serdes the data stream is scrambled using a frame-synchronous scrambler with a sequence length of 127. the scrambling function can be disabled by setting a control register bit (0x3000c). the generating polynomial for the scrambler is 1 + x 6 + x 7 . this polynomial conforms to the standard sonet sts-12 data format. the scrambler is reset to 1111111 on the rst byte of the spe (byte following the z0 byte in the twelfth sts-1). the scrambler runs continuously from that byte on throughout the remainder of the frame. the a1, a2, j0, and z0 bytes are not scrambled. after scrambling, the serial data is broadcast to both the work and protect lvds buffers. receive path logic each of the two sonet logic blocks has four receiving channels which can be treated as one sts-48 stream or as four independent sts-12 or sts-3 channels.the received data streams are processed and passed to the fpga logic. when doing multichannel alignment of two or more data streams, the receiver can handle the data streams with frame offsets of up to 18 bytes due to timing skews between cards and along backplane traces or other transmis- sion medium. for multichannel alignment capability to operate properly, it should be noted that while the skew between channels can be very large, they must operate at the exact same frequency (0 ppm frequency deviation), thus requiring that the transmitters sourcing the data being received be driven by the same clock source. each stm block receives the serial streams of sts-12 data (maximum of four streams per quad) from the lvds inputs. there is no received clock input. there are two sets of receive lvds pins, rxdxx_w_[p:n] and rxdxx_p_[p:n]. if the lvds protection switching is used, the rxdxx_w_[p:n] lvds inputs are used to accept the main data while the rxdxx_p_[p:n] lvds inputs are used to accept the protect data. once the serial data is received from the lvds inputs it goes through the following processing steps: ? the clock for the received data is recovered from the received serial data stream and a serial to parallel conver- sion is performed on the data ? the frame format of the incoming data is reconstructed (optional). the data is descrambled using the standard sts-12 polynomial (optional) ? a b1 parity error check is performed on the data from the previously transmitted frame ? the channel alignment fifo perform channel alignments on groups of incoming data streams (optional) and/or simply perform the domain transfer from the recovered clock to the local reference clock. ? the sonet pointer interpreter and pointer mover detect the location of the spe and c1j1 bytes and additionally inserts 0xffs instead of received data into the data path, if an line alarm indication signal (ais-l) is detected. the offset pointers are adjusted to point to the location of the j1 byte. ? the received parallel data, parity, recovered clock, spe and c1j1 indicators and toh parallel data is sent to the fpga logic. these processing steps are described in more detail in the following sections. a block diagram of the receive path logic is shown in figure 16. all processing except the cdr functions (clock recovery and serial to parallel conver- sion) is optional. if all processing except the serdes is deselected, the device is said to be operating in the "bypass" mode.
lattice semiconductor orca ort8850 data sheet 35 figure 16. basic logic blocks, receive path, single channel hsi functions (clock recovery and deserializer) the hsi receive path functions include clock and data recovery (cdr) and deserialization of the incoming data from the selected work or protect input stream to the byte-wide internal data bus format. the serial data received from the lvds buffer does not have an accompanying clock. based on data transitions, the receiver selects an appropriate internal clock phase for each channel to retime the data. the retimed data and clock are then passed to the demux (deserializer) module. the demux module performs serial-to-parallel conversion and provides par- allel data and clock to the sonet framer block. for a 622 mbits/s sonet stream, the hsi will perform clock and data recovery (cdr) and mux/demux between 77.76 mhz byte-wide internal data buses and 622 mbits/s serial lvds links. sampler this block operates on the byte-wide data directly from the hsi macro. the hsi external interface always runs at 622 mbits/s (sts-12), or 850 mbits/s, but it can be connected directly to a 155 mbits/s sts-3 stream. if connected to a 155 mbits/s stream, each incoming bit is received four times. this block is used to return the byte stream to the expected sts-12 format. the mode of operation is controlled by a register and can either be sts-12 (pass- through) or sts-3. the output from this block is not bit aligned (i.e., an 8-bit sample does not necessarily contain an entire sonet byte), but it is in standard sonet sts-12 format (i.e., four sts-3s) and is suitable for framing. sonet framer block the framer block takes byte-wide data from the hsi, and outputs a byte-aligned, byte-wide data stream and 8 khz sync pulse. the framer algorithm determines the out-of-frame/in-frame status of the incoming data and will set alarm register bits on both an errored frame and an out-of-frame (oof) state. the framer block takes byte wide data from the hsi, and outputs a byte aligned byte wide stream and 8 khz sync pulse asserted coincident the rst a1 byte which will be used by following blocks. (note however that if the pointer notes: n=[7,0] xx=[aa, ab,bd] * ~ signal from control register fp f ~ framer frame pulse fp s ~ fifo sync frame pulse lof~ loss of frame fpga logic embedded core backplane serial link doutxx_par cdr_clk_xx 2 rxdxx_w_[p:n] rxdxx_p_[p:n] 2 mux logic common to both quads to other 7 channels 2 lvds buffer to other 7 channels sys_fp line_fp fpga_sysclk toh_clk sonet logic i/o muxs and lvds buffers sys_clk_[p:n] toh data parallel to serial convert serial to parallel cdr de- scrambler (opt.) old b1 read (opt.) ais insert new b1 calc. b1 check (opt.) prev. b1 pointer mover (opt.) parity gen. doutxx_spe doutxx_c1j1 doutxx[7:0] mux framer (opt.) sampler (for sts3) doutxx_en rx_toh_ck_en rx_toh_fp toh_ck_lp_en toh_outxx toh_xx _en align. fifo (opt.) fifo w/r control doutxx _fp toh port control fifo sync. fp f * lof fp s control and toh clock recovered 77.76 mhz 77.76 mhz mux mux control and toh clock bypass align* bypass align* bypass mover* 2 insert ais-l* insert ais-l on lof* 2 line lbk .* insert bus par. err.* k1/k2 pass /regen* sts 12/48* 3 min/max th.* 2 fifo control fifo control
lattice semiconductor orca ort8850 data sheet 36 mover is active, there is no xed timing relationship between the data sent to the fpsc and doutxx_fp and the doutxx_spe and doutxx_c1. j1 signals should be used instead to determine data alignment within the frame.) the framer algorithm determines the out-of-frame/in-frame status of the incoming data and will cause alarms on both an errored frame and an oof (out-of-frame) state. functions performed by this block include: ? a1-a2 framing pattern detection. (framing similar to sonet speci cation) ? generation of timing and an 8khz frame pulse. ? detections of out of frame (oof) (generates an alarm). ? errored frame detection (increments error counter). framer state machine figure 17 shows the state machine for the framer. because the ort8850 is primarily intended for use between itself and another ort8850 or other devices via a backplane, there is only one errored frame state. thus there is no severely errored frame (sef) or loss-of-frame (lof) indication. figure 17. framer state machine oof state this is the initial state for the state machine after a reset. in this state the a1 pattern is searched for on every clock cycle. a second stage of comparison is implemented to locate the a1/a2 transition. when the a1/a2 transition is found, the following occurs: ? the state machine moves from the oof state to the frame con rm state. ? the a1offset for the byte start location is locked. ? row and column counters are set frame con rm state in this state the a1/a2 transition is only compared for at the appropriate location, i.e. beginning at the 12th a1 loca- tion. this location is determined from the row and column counters which were set at the transition from oof to frame con rm. if at this time the comparison fails, the state machine reverts to the oof state. if the comparison notes: 1) row and column counters are only set/reset by a state transition from the oof state to to the frame confirm state. 2) expect a1/a2 means that the row and column counters have counted to the place for the last (12 th.) a1 byte and that the next byte should be an a2 byte. expect a1/a2 and find a1/a2 and 4 consecutive correct a1/a2 transitions detected expect a1/a2 and find a1/a2 and <4 consecutive correct a1/a2 transitions detected expect a1/a2 and find a1/a2 expect a1/a2 and find a1/a2 expect a1/a2 and do not find a1/a2 - find a1/a2 transition - lock barrel shifter - set row and column counters frame confirm in frame errored frame out of frame (oof) reset expect a1/a2 and do not find a1/a2 expect a1/a2 and do not find a1/a2
lattice semiconductor orca ort8850 data sheet 37 passes, the next state will either still be frame con rm or will be in frame. for the framer to declare an in frame state the framer must detect 4 consecutive correct a1/a2 framing patterns. this state is similar to the frame con rm state except that if the comparison at the a1/a2 time is incorrect, the next state will be the errored frame state. if the comparison is correct, the next state will be in frame. data is only valid in the frame state errored frame state once the errored frame state has been reached, if the next comparison is incorrect, the next state will be oof i.e., after two transitions are missed, the state machine goes into the oof state which will also generate an alarm. oth- erwise, if the comparison correct, the next state will be in frame. also, when the framer detects an errored frame it increments an a1/a2 frame error counter register accessible from the system bus. the counter can be monitored by a processor to compile performance status on the quality of the backplane. b1 parity error check the b1 parity error check block receives byte-wide scrambled byte-wide parallel data and a frame sync from the framer. the b1 error check calculation block computes a bip-8 (bit interleaved parity 8 bits) code, using even parity over all bits of the current sts-12 frame before descrambling. the same calculation had previous been done for the previous sts-12 frame. the value obtained then is checked against the b1 byte of the current frame after descrambling. a per-stream b1 error counter is incremented for each bit that is in error. the error counter register is accessible from the system bus. descrambler the received streams from the framer are descrambled using a frame synchronous descrambler with the same polynomial (1 + x 6 + x 7 ) that was used in the transmit path. if the incoming data is not scrambled, the descrambling function can be disabled by setting a control register bit (0x3000c). the a1/a2 framing bytes, the section trace byte (c1/j0) and the growth bytes (z0) are not descrambled. ais-l insertion the alarm indication signal (ais) is a continuous stream of unframed 1s sent to alert downstream equipment that the near-end terminal has failed, lost its signal source, or has been temporarily taken out of service. ais-l is inserted into the received frame by writing all ones for all bytes of the descrambled stream under two conditions: 1. if a force ais_l state is enabled by a bit in the ais-l force register, ais-l is inserted into the received frame continuously. this will cause all bytes within a sts-12 frame to be ff 2. if an ais-l insertion on out-of-frame enabled via a register, ais-l is inserted into the received frame when the framer indicates that an out-of-frame condition exists. since this occurs after the overhead processing block, all transport overhead can continue to byte read and b1 can still be used to monitor link integrity. alignment fifo and multi-channel alignment the alignment fifo in the ort8850 performs two functions, clock domain transfer and multi-channel alignment. the depth of the alignment fifo is 10 bit words which allows it to absorb channel timing differences of up to 18 clock cycles. multi-channel alignment is based on the incoming a1/a2 bytes. the alignment fifo is always written from the sonet framer using the per channel recovered clock. the fifo is always read using the local reference clock (fpga_sysclk). for this reason when doing multi-channel alignment there must be 0 ppm between the transmit ort8850 reference clock and the receiving ort8850 reference clock. this can only be accomplished by using a single clock source for both the transmitting and receiving devices. the alignment fifo has several alarm and control indicators that are accessible via control and alarm registers available via the system bus or the mpi. the default alignment threshold values for the alignment fifo are set in registers at 0x3000a and 0x3000b. here the min and max threshold values can be programmed. the default min is set to 2 clocks and the max default is set to 15. if the alignment fifo determines that these thresholds have been
lattice semiconductor orca ort8850 data sheet 38 violated a per channel alarm bit will be set indicating that this channel has exceeded the threshold, as well as a fifo out-of-sync alarm bit to indicate the channel is not longer in sync with the reset of the alignment group. the incoming data can be considered as 4 sts-12 channels (a, b, c, and d) per quad. thus we have sts-12 channels aa to ad from quad a of the stm and sts-12 channels ba to bd of quad b. the 8 channels of parallel sonet data can be grouped into an alignment group by 2, by 4 or all 8 channels. as the serial data is run through the backplane and serdes the parallel data can be slightly varied. the alignment fifo can absorb this difference in the channels and create a byte aligned grouping. these streams can be frame aligned in the following patterns. streams can be aligned on a twin sts-12 basis as shown in figure 18. in sts-48 mode, all four sts-12s of each stm quad are aligned with each other (i.e. aa, ab, ac, ad) as shown in figure 19. optionally in sts-48 mode all eight sts-12s (stms a and b) can be aligned which allows hitless switching since all streams will be byte aligned (figure 20). multiple ort8850 devices can be aligned with each other using a common system frame pulse to enable sts-192 or higher modes. figure 18. twin channel alignment figure 19. alignment of serdes quads a and b channel ba channel ab channel bb channel ac channel bc channel ad channel bd channel aa channel ba channel ab channel bb channel ac channel bc channel ad channel bd t 2 channel aa t 1 t 0 t 3 twin alignment of channels aa and ba twin alignment of channels ab and bb twin alignment of channels ac and bc twin alignment of channels ad and bd channel ab channel ac channel ad channel ba channel bb channel bc channel bd channel aa channel ab channel ac channel ad channel ba channel bb channel bc channel bd t 1 t 0 channel aa quad alignment of channels aa , ab , ac , and ad quad alignment of channels ba , bb , bc , and bd
lattice semiconductor orca ort8850 data sheet 39 figure 20. alignment of all eight serdes channels. there is a provision to allow certain streams to be disabled (i.e. not producing alarms or affecting synchronization). these streams can be enabled at a later time without disrupting other streams. if the newly enabled stream needs to be a part of a bigger group the entire group must be resynchronized unless the affected stream was active when the initial synchronization was performed. as long as all streams to be aligned were active when the most recent synchronization was performed, individual streams may be enabled or disabled without affecting synchronization. it is recommended that users select the smallest possible groups for channel alignment. if an application only requires that two channels be aligned then it is best to use by-2 grouping. all of the channels in a group will affect the group?s total alignment. if a channel in a group fails or is shut down it will not affect any of the other channels in the group. this channel will simply be removed from the alignment algorithm. when the channel is re-enabled into a working group it will be out of alignment with the rest of the group. it will be necessary to perform a fifo realign- ment procedure to realign the group. during a fifo realignment data will not pass through any of the channels in the alignment group. alignment fifo algorithm the algorithm controlling writes to the alignment fifo and reads from it operates as follows: prior to detecting the rst frame pulse for a link being aligned, each link in the group continually writes to address 0 within its own fifo (each link has a fifo). when the rst link in the group receives a frame pulse from framer block the write pointer for the corresponding fifo increments to next write address on each clock cycle. l inks that have not received a frame pulse continue to write into their respective fifos. when any link receives a frame pulse, the write address for that fifo will be reset to ?0? the operation of the alignment algorithm requires a wait of several clocks from the rst arriving frame pulse before reading of fifo data begins. in this case, when all frame pulses arrive together the alignment algorithm initiates reads after 9 clocks cycles. if, however, the rst to last arriving frame pulses are separated by multiple clock cycles, there will be additional clock cycles between the rst frame pulse and the rst read. if all links in the group have not reported a valid frame pulse signal after 18 clock cycles, an out of sync state is entered and an alarm is generated. after all links have received frame pulses and are incrementing their write addresses while writing into their fifos, data is then read out of each link's fifo one byte at a time. all aligned links are now frame/byte/bit synchronous. fifo alignment procedure the fifo alignment block has the ability to be realigned by changing the value of bits in the alignment control reg- isters. this may be done in the fpga logic or under the control of an external device through the system bus or mpi. alignment must take place after the stream has settled with valid data to guarantee proper channel alignment and uncorrupted data transmission. channel realignment must occur when a channel goes from the out-of-frame (oof) state to the in-frame state. this happens when the channels are rst powered up and given a valid frame pulse. this is the obvious known channel aa channel ab channel ac channel ad channel ba channel bb channel bc channel bd channel aa channel ab channel ac channel ad channel ba channel bb channel bc channel bd t 0
lattice semiconductor orca ort8850 data sheet 40 condition. it is also possible during operation for the channel to go into oof. this may occur due to the removal of either the frame pulse or the cable. if this is the case, and is is part of a multi-channel alignment group, the realign- ment procedure must be re-executed once the channel goes back into frame. when a channel goes from the oof state to the in-frame state the oof alarm bit is set per channel. the oof alarm bit is a per channel bit contained in the channel alarm register. it takes the receiver at least 4 full sonet frames for the state machine to declare the in-frame state. when the oof bit is high the channel is in oof. when the oof bit changes to a ?0? then the channel is back in frame and the realignment procedure should be executed. table 11 lists the register values to set up the ort8850 for alignment fifo sync realignment. the order is speci c. the values are given from the powerpc point of view. if using the mpi to write data to the ort8850, the value given in the table is the value that should be used. if using the umi of the system bus, the data value would need to be byte ipped. the following setup procedures should be followed after the enabled channels have a valid frame pulse, and are in the frame state: table 11. alignment fifo synch realignment rx serial toh processing transport overhead is extracted from the receive data stream by the toh extract block. the incoming data gets loaded into a 36-byte shift register on the system clock domain. this, in turn, is clocked onto the toh clock domain at the start of the spe time, where it can be clocked out. the toh processor is responsible for serializing all received toh bytes of each channel through that channel's corresponding serial toh data port. the toh serial ports are synchronized to the toh clock (the same clock that is being used by the serial ports on the transmitter side). this free-running toh clock is provided to the core by external circuitry and operates at a minimum frequency of 25 mhz and a maximum frequency of 77.76 mhz. data is transferred over serial links in a bursty fashion as controlled by the rx toh clock enable signal, and is common register address value (binary) description 0x30020, bit 6 1 force ais-l in all channels of the group to be synchronized. 0x30038, bit 6 1 0x30050, bit 6 1 0x30068, bit 6 1 0x30080, bit 6 1 0x30098, bit 6 1 0x300b0, bit 6 1 0x300c8, bit 6 1 wait for 4 sonet frames (~500 s) 0x30017, speci c bits 1 issue fifo realignment commands. 0x30018, speci c bits 1 wait for another 4 sonet frames (~500 s) 0x30017, speci c bits 0 clear fifo alignment command register bits written in previous steps. 0x30018, speci c bits 0 0x30020, bit 6 0 release ais-l in all channels of the group to allow normal data ow through the reveiver. 0x30038, bit 6 0 0x30050, bit 6 0 0x30068, bit 6 0 0x30080, bit 6 0 0x30098, bit 6 0 0x300b0, bit 6 0 0x300c8, bit 6 0
lattice semiconductor orca ort8850 data sheet 41 to all eight channels. all toh bytes from the sts-12 streams are transferred over the appropriate serial link in the same order in which they appear in a standard sts-12 frame. during the spe time, the receiver toh frame pulse is generated (rx_toh_fp) which indicates the start of the row of 36 toh bytes. this pulse, along with the receive toh clock enable (rx_toh_ck_en), as well as the toh data, are all launched on the rising edge of the toh clock (toh_clk). on the toh serial port, all toh bytes are sent as received on the lvds input (msb rst). the only exception is the most signi cant bit of byte a1 of sts#1, which is replaced with an even parity bit. this parity bit is calculated over the previous toh frame. also, on ais-l (either resulting from oof or forced through software), all toh bits are forced to all ones with proper parity (parity automatically ends up being set to 1 on ais-l). the core logic must provide framing information to the fpga using the rx_toh_ck_en and rx_toh_fp output signals. toh data is output on a row by row basis, with the one clock cycle frame pulse (rx_toh_fp) delineating the start of a row, as shown in figure 21. detailed timing for the toh serial output is shown in later in figure 29. figure 21. toh serial port output framing signals (core to fpga) receiver toh reconstruction on the output parallel bus is performed as shown in the following table (if the pointer mover is not bypassed). table 12. receiver toh byte reconstruction (output parallel bus) special toh byte functions the k1 and k2 bytes are used in automatic protection switch (aps) applications. k1 and k2 bytes can be option- ally passed through the pointer mover under software control, or can be set to zero with the other toh bytes. a1 a1 a1 a1 a1 a1 a1 a1 a1 a1 a1 a1 a2 a2 a2 a2 a2 a2 a2 a2 a2 a2 a2 a2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 h1 h1 h1 h1 h1 h1 h1 h1 h1 h1 h1 h1 h2 h2 h2 h2 h2 h2 h2 h2 h2 h2 h2 h2 h3 h3 h3 h3 h3 h3 h3 h3 h3 h3 h3 h3 0 0 0 0 0 0 0 0 0 0 0 0 k2 0 0 0 0 0 0 0 0 0 0 0 k2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 regenerated bytes. regenerated bytes (under pointer control. ss bits must be transparent, ais-p must be supported) bytes taken from elastic store buffer on negative stuff opportunity - else forced to all zeroes transparent or all zeros (k1/k12 are either taken from k1/k12 buffer or forced to all zero-soft control) in transport mode, ais -l must be supported. all zero bytes doutxx[7:0] 36 bytes toh 1044 bytes spe row 1 t oh_clk rx_toh_fp rx_t oh_ck_en data valid toh_outxx bit 6 of a1 byte sts1 #1 msbit(7) of a1 byte sts1 #1
lattice semiconductor orca ort8850 data sheet 42 pointer interpreter and pointer mover after the alignment fifo the receive data can optionally go through the pointer interpreter and pointer mover. the pointer interpreter will identify the sonet payload envelope (spe), the c1 bytes and the j1 bytes, and provide this information to the fpga logic. for data applications where the user is simply using sonet to carry user de ned cells in the payload the spe signal is very useful as an enable to the cell processor. c1j1 for generic data applica- tions can be ignored. if the pointer interpreter and pointer mover are bypassed, then the spe and c1j1 signals will be always '0'. since the start of an spe can be located at any point in a sonet frame, the starting point is identi ed using pointer bytes h1 and h2. the pointer bytes indicate the offset of the start of the spe from the pointer byte position. two payload pointer bytes (h1 and h2) are allocated to a pointer that indicates the offset in bytes between the pointer and the rst byte of the sts spe. the pointer bytes are used in all sts-1s within an sts-n to align the sts-1 transport overhead in the sts-n, and to perform frequency justi cation. these bytes are also used to indi- cate concatenation, and to detect alarm indication signals (ais). the resulting 2 byte pointer is divided into three parts: 1. four bits of new data flag (ndf) 2. two bits of unassigned bits (these bits are set to 00.) 3. ten bits for pointer value, which are alternately considered increment (i) bits or decrement (d) bits. the 10 bit pointer is required to represent the maximum spe offset of 782 (9 rows * 87 columns - 1). speci c combina- tions of pointer byte values indicate that positive or negative frequency justi cation will occur and also whether or not the current frame is a concatenated frame. normally the ndf bits are set to 0110, which indicates that the current pointer values are unchanged. the inverse bit pattern, 1001, indicates that some data has changed. any other bit con guration is interpreted using the 3 of 4 rule, i.e., 1110 is interpreted as 0110, etc. patterns that cannot be resolved are unde ned, however all one's in the ndf and in the pointer bits indicates ais detection.the ort8850 can correctly process any length of concatena- tion of sts frames (multiple of three) as long as it begins on an sts-3 boundary (i.e., sts-1 number one, four, seven, ten, etc.) and is contained within the smaller of sts-3, 12, or 48. table 13. valid starting positions for and sts mc sts-1 number sts-3cspe sts-6cspe sts-9cspe sts-12cspe sts-15cspe sts-18c to sts-48c spes 1 ye s ye s ye s ye s ye s ye s 4 ye s ye s ye s no ye s ? 7 ye s ye s no no ye s ? 10 ye s no no no ye s ? 13 ye s ye s ye s ye s ye s ? 16 ye s ye s ye s no ye s ? 19 ye s ye s no no ye s ? 22 ye s no no no ye s ? 25 ye s ye s ye s ye s ye s ? 28 ye s ye s ye s no ye s ? 31 ye s ye s no no ye s ? 34 ye s no no no ye s no 37 ye s ye s ye s ye s no no 40 ye s ye s ye s no no no 43 ye s ye s no no no no
lattice semiconductor orca ort8850 data sheet 43 a pointer action byte (h3) is allocated for spe frequency justi cation purposes. frequency justi cation is discussed in a later section. the h3 byte is used in all sts-1s within an sts-n to carry the extra spe byte in the event of a negative pointer adjustment. the value contained in this byte when it's not used to carry the spe byte is unde ned. pointer interpreter state machine. the pointer interpreter's highest priority is to maintain accurate data ow (i.e., valid spe only) into the elastic store. this will ensure that any errors in the pointer value will be corrected by a standard, fully sonet compliant, pointer interpreter without any data hits. this means that error checking for increment, decrement, and new data ag (ndf) (i.e., 8 of 10) is maintained in order to ensure accurate data ow. a single valid pointer (i.e., 0-782) that dif- fers from the current pointer will be ignored. two consecutive incoming valid pointers that differ from the current pointer will cause a reset of the j1 location to the latest pointer value (the generator will then produce an ndf). this block is designed to handle single bit errors without affecting data ow or changing state. the pointer interpreter has only three states (norm, ais, and conc). norm state will begin whenever two con- secutive norm pointers are received. if two consecutive norm pointers that both differ from the current offset are received, then the current offset will be reset to the last received norm pointer. when the pointer interpreter changes its offset, it causes the pointer generator to receive a j1 value in a new position. when the pointer gener- ator gets an unexpected j1, it resets its offset value to the new location and declares an ndf. the interpreter is only looking for two consecutive pointers that are different from the current value. these two consecutive norm pointers do not have to have the same value. for example, if the current pointer is ten and a norm pointer with off- set of 15 and a second norm pointer with offset of 25 are received, then the interpreter will change the current pointer to 25. if the data is concatenated, the receipt of two consecutive conc pointers causes conc state to be entered. once in this state, offset values from the head of the concatenation chain are used to determine the location of the sts spe for each sts in the chain. finally, if two consecutive ais pointers cause the ais state to occur. any two con- secutive normal or concatenation pointers will end this ais state. this state will cause the data leaving the pointer generator to be overwritten with 0xff. figure 22. pointer mover state machine 46 ye s no no no no no note: yes = sts-mc spe can start in that sts-1. no = sts-mc spe cannot start in that sts-1. - = yes or no, depending on the particular value of m. table 13. valid starting positions for and sts mc (continued) sts-1 number sts-3cspe sts-6cspe sts-9cspe sts-12cspe sts-15cspe sts-18c to sts-48c spes norm conc 2 x conc 2 x no rm 2 x norm 2 x ais ais 2 x conc 2 x ais
lattice semiconductor orca ort8850 data sheet 44 spe and c1j1 identi cation in the ort8850 each frame can be considered as 12 sts-1s. in the spe region, there are 12 j1 pulses for each sts-1s. there is one c1(j0, new sonet speci cations use j0 instead of c1 as section trace to identify each sts- 1 in an sts-n) pulse in the toh area for one frame. thus, for non concatenated data there are a total of 12 j1 pulses and one c1(j0) pulse per frame. the c1(j0) pulse is coincident with the j0 of sts-1 #1. the pointer interpreter identi es the payload area of each frame. the spe ag is active when the data stream is in spe area. spe behavior is dependent on pointer movement and concatenation. note that in the toh area, h3 can also carry valid data. when valid spe data is carried in this h3 slot, spe is high in this particular toh time slot. in the spe region, if there is no valid data during any spe column, the spe signal will be set to low. spe allows a pointer processor to extract payload without interpreting the pointers. figure 23. spe and c1j1 functionality for sts -12 the following rules are observed for generating spe and c1j1 signals: ? on occurrence of ais-p on any of the sts-1, there is no corresponding j1 pulse. ? in case of concatenated payloads (up to sts48c), only the head sts-1 of the group has an associated j1 pulse. ? the c1j1 signal tracks any pointer movements. this behavior is illustrated in the following gure. note that the actual bit positions are dependent on the actual pay- load con guration and offset. figure 24. spe and c1j1 signals pointer mover after the pointer interpreter comes the pointer mover block. there is a separate pointer mover for the two sonet framer quads, a and b, each of which handles up to one sts-48 (four channels) the k1/k2 bytes and h1-ss bits are also passed through to the pointer generator so that the fpga can receive them. the pointer mover handles both concatenations inside the sts-12, and to other sts-12s inside the core. use of this block is optional, as dis- cussed in a later section. spe c1j1 description 0 0 toh information excluding c1(j0) of sts-1 #1. 0 1 position of c1(j0) of sts-1 #1 (one per frame). typically used to provide a unique link identi cation (256 possible unique links) to help ensure cards are connected into the backplane correctly or cables are connected correctly. 1 0 spe information excluding the 12 j1 bytes. 1 1 position of the 12 j1 bytes. sts-12 toh row # 1 spe row # 1 a1 a1 a1 a1 a1 a1 a1 a1 a1 a1 a1 a1 a2 a2 a2 a2 a2 a2 a2 a2 a2 a2 a2 a2 j0 z0 z0 z0 z0 z0 z0 z0 z0 z0 z0 z0 sts-12 spe c1j1 c1 pulse j1 pulse of 3rd sts-1 first spe bytes of the 12 sts-1s 1 2 3 4 5 6 7 8 9 10 11 12
lattice semiconductor orca ort8850 data sheet 45 the pointer mover block can correctly process any length of concatenation of sts frames (multiple of three) as long as it begins on an sts-3 boundary (i.e., sts-1 number one, four, seven, ten, etc.) and is contained within the smaller of sts-3, 12, or 48. the pointer value can be adjusted to change the start of the spe position and thereby adjust for frequency changes. the variations in frequency are taken care of using justi cation. when the incoming data rate exceeds the nominal rate, negative justi cation is used to compensate for the fre- quency difference. data are transmitted in the pointer action byte, h3, and thereby adjust for the additional incom- ing data. the size of one spe is still the same, but it is transmitted in less time than usual, and so a higher data rate is achieved for some time. negative justi cation causes the start of spe to move left by one column or by decreas- ing the pointer by one. the following frames contain the new pointer value. negative justi cation is indicated by inverting the d bits (bits 8, 10, 12, 14, 16) of the pointer word. the receiver determines the occurrence of negative justi cation by examining these bits of the pointer word and applying a 5-bit majority logic on them. when the incoming data rate lags the nominal rate, positive justi cation is used to compensate for the frequency difference. data are not transmitted in the byte following the pointer action byte, h3, and thereby adjust for the lack of incoming data. the size of one spe is still the same, but it is transmitted in more time than usual, and hence a slower data rate is achieved for some time. positive justi cation causes the start of spe to move right by one col- umn or by increasing the pointer by one. the following frames contain the new pointer value. positive justi cation is indicated by inverting the i bits (bits 7, 9, 11, 13, 15) of the pointer word. the receiver deter- mines the occurrence of positive justi cation by examining these bits of the pointer word and applying a 5-bit major- ity logic on them. the spe signal to the fpga logic must be high during negative stuff opportunity byte time slots (h3) for which valid data is carried (negative stuf ng). spe signal must be low during positive stuff opportunity byte time slots for which there is no valid data (positive stuf ng). this behavior is shown in the following gure. figure 25. spe signal during justi?ation in either justi cation, the pointer must remain unchanged for at least three consecutive frames before it can be jus- ti ed again. the pointer can jump randomly to a new position at any point of time. this can happen in conditions when the transmitting end has just recovered from an error condition. a sudden jump in the pointer value is indi- cated through ndf, new data flag. this information is carried in the four ms bits of the pointer word. a 3-bit major- ity logic is applied on the ndf bits to determine the status of the pointer jump. pointer generator the pointer generator maps the corresponding bytes into their appropriate location in the outgoing byte stream. the generator also creates offset pointers based on the location of the j1 byte as indicated by the pointer inter- preter. the generator will signal ndfs when the interpreter signals that it is coming out of ais state. the pointer generator resets the pointer value and generates ndf every time a byte marked j1 is read from the elastic store that doesn't match the previous offset. increment and decrement signals from the pointer interpreter are latched once per frame on either the f1 or e2 byte times (depending on collisions); this ensures constant values during the h1 through h3 times. the choice of which byte time to do the latching on is made once when the relative frame sts-12 toh row # 4 spe row # 4 h1 h1 h1 h1 h1 h1 h1 h1 h1 h1 h1 h1 h2 h2 h2 h2 h2 h2 h2 h2 h2 h2 h2 h2 h3 h3 h3 h3 h3 h3 h3 h3 h3 h3 h3 h3 sts-12 spe positive stuff opportunity bytes 123456789101112 negative stuff opportunity bytes spe signal shows negative stuffing for 2nd sts-1, and positive stuffing for 6th sts-1
lattice semiconductor orca ort8850 data sheet 46 phases (i.e., received and system) are determined. this latch point is then stable unless the relative framing changes and the received h byte times collide with the system f1 or e2 times, in which case the latch point would be switched to the collision-free byte time. there is no restriction on how many or how often increments and decrements are processed. any received incre- ment or decrement is immediately passed to the generator for implementation regardless of when the last pointer adjustment was made. the responsibility for meeting the sonet criteria for maximum frequency of pointer adjust- ments is left to an upstream pointer processor. receive bypass options not all of the blocks in the receive direction are required to be used. the following bypass options are valid in the receive (backplane fpga) direction: ? stm pointer mover bypass: ? in this mode, data from the alignment fifos is transferred to the fpga logic. all channels are synchronous to the fpga_sysclk signals driven to the fpga logic, as is also the case when the pointer mover is not bypassed. during bypass spe, c1j1, and data parity signals are not valid. when the pointer mover is bypassed, eight frame pulses (doutxx_fp) from aligned channels are provided by the embedded core to the fpga. ? when the pointer mover is used, the fpga logic provides the frame pulse on the line_fp (recall: there is only one line_fp just like there is only one sys_fp) signal essential for the pointer mover to move the data. the fpga gets eight channels of sonet data with the a1 byte position of each channel of the toh arbitrarily offset from the line_fp. the doutxx_fp signals are not valid when the pointer mover is used. ? stm pointer mover and alignment fifo bypass: ? in this mode, data from the framer block is transferred to the fpga logic. all channels supply data and frame pulses synchronous with their individual recovered clock (cdr_clk_xx) per channel. during bypass, spe, c1j1, and data parity signals are not valid. additionally, no serial toh_out_xx data and frame pulse sig- nals will be available. the doutxx_fp signals are aligned with the a1 byte position of each channel, as shown in figure 26. figure 26. pointer mover and alignment fifo bypass timing table 14 shows the register settings to enable the bypass modes. table 14. register settings for bypass mode register address value description 0x3000c 0x04 turn off the sonet scrambler/descrambler 0x30020 0x07 channel aa in functional mode 0x30038 0x07 channel ab in functional mode 0x30050 0x07 channel ac in functional mode 0x30068 0x07 channel ad in functional mode 0x30080 0x07 channel ba in functional mode 0x30098 0x07 channel bb in functional mode 0x300b0 0x07 channel bc in functional mode 0x300c8 0x07 channel bd in functional mode cdr_clk_xx doutxx doutxx_fp first a1 byte
lattice semiconductor orca ort8850 data sheet 47 fpga/embedded core interface signals 0x30021 0x01 channel aa in transparent mode 0x30039 0x01 channel ab in transparent mode 0x30051 0x01 channel ac in transparent mode 0x30069 0x01 channel ad in transparent mode 0x30081 0x01 channel ba in transparent mode 0x30099 0x01 channel bb in transparent mode 0x300b1 0x01 channel bc in transparent mode 0x300c8 0x01 channel bd in transparent mode 0x30023 0x30 channel aa - do not insert a1/a2 or b1 0x3003b 0x30 channel ab - do not insert a1/a2 or b1 0x30053 0x30 channel ac - do not insert a1/a2 or b1 0x3006b 0x30 channel ad - do not insert a1/a2 or b1 0x30083 0x30 channel ba - do not insert a1/a2 or b1 0x3009b 0x30 channel bb - do not insert a1/a2 or b1 0x300b3 0x30 channel bc - do not insert a1/a2 or b1 0x300cb 0x30 channel bd - do not insert a1/a2 or b1 0x30037 0x44 channel aa - bypass alignment fifo and pointer interpreter/mover, disable sonet framer 0x3004f 0x44 channel ab - bypass alignment fifo and pointer interpreter/mover, disable sonet framer 0x30067 0x44 channel ac - bypass alignment fifo and pointer interpreter/mover, disable sonet framer 0x3007f 0x44 channel ad - bypass alignment fifo and pointer interpreter/mover, disable sonet framer 0x30097 0x44 channel ba - bypass alignment fifo and pointer interpreter/mover, disable sonet framer 0x300af 0x44 channel bb - bypass alignment fifo and pointer interpreter/mover, disable sonet framer 0x300c7 0x44 channel bc - bypass alignment fifo and pointer interpreter/mover, disable sonet framer 0x300df 0x44 channel bd - bypass alignment fifo and pointer interpreter/mover, disable sonet framer note: to select between full, half and quad rate modes, registers 0x300e1 and 0x300e2 are used. see the memory map for details on these registers. table 15. fpga/embedded core interface signals ort8850 fpga/embedded core interface signals - sonet blocks fpga/embedded core interface signal name xx=[aa,?,bd] input (i) to or output (o) from core signal description common interface signals fpga_sysclk o local reference clock from the core to the fpga. all of the transmit data is captured on this clock edge inside the ort8850 core. if using the alignment fifo all of the parallel data from the ort8850 core will also be clocked from this clock. this signal uses an orca series4 primary clock route. table 14. register settings for bypass mode (continued) register address value description
lattice semiconductor orca ort8850 data sheet 48 sys_fp i system frame pulse generated inside the fpga logic. this is a sin- gle clock pulse of fpga_sysclk every 9720 clock cycles. for a 77.76 mhz reference clock the system frame pulse is at the sonet standard of 8 khz. all the eight transmit channels' rst a1 byte should be aligned to the sys_fp. internally sys_fp is used when far end loopback (line side loopback) needs to be performed. this loopback can only be performed when pointer mover is not bypassed. line_fp i user-provided frame pulse used by only the pointer mover block in the receive direction. the pointer mover moves the data to align it with the line_fp. if the pointer mover is bypassed, line_fp is not used. toh_clk i clock driven from the fpga to clock the toh processor. this clock can be in the range from 25mhz to 77.76mhz. if not using the toh communication channel this signal can be connected to gnd. signals to tx logic blocks dinxx[7:0] i byte wide data for channel xx. this data is ultimately preset on the serial lvds pin txdxx_w_[p:n] (work) and txdxx_p_[p:n] (pro- tect). dinxx_par i parity input for byte wide data dinxx. odd or even parity selection is controlled by a bit in the control register at 0x3000c. signals from rx logic blocks doutxx[7:0] o byte wide data for channel aa doutxx_par o parity output for byte wide data doutxx[7:0]. odd/even is con- trolled by control register at 0x3000c. doutxx_fp o frame pulse output from the sonet framer. a single clock pulse to indicate the start of the sonet frame. if bypassing the pointer mover/interpreter this pulse will line up directly with the rst a1 on doutxx[7:0]. if using the pointer interpreter/mover doutxx_fp will fall several clock cycles before the a1 on doutxx[7:0] due to the latency from the pointer mover. doutxx_spe o when '1' indicates spe bytes are on the doutxx[7:0] lines. only available when using the pointer interpreter/mover doutxx_c1j1 o when '1' indicates the c1j1 bytes are on the doutxx[7:0] lines. only available when using the pointer interpreter/mover. doutxx_en o indicates the state of register setting for doutxx_en. cdr_clk_xx o recovered clock from the channel xx serdes. if not using the alignment fifo all of the parallel data from channel xx will be clocked from this clock. signals to toh logic blocks (note: these signals are active only in the serial toh insertion mode) tx_toh_ck_en i active-hi toh_clk enable. if using serial toh insertion this enable must be active. toh_inxx i serial toh insertion port for channel xx. signals from toh logic blocks (note: these signals are active only in the serial toh insertion mode) rx_toh_ck_en o when '1' indicates a control register bit has been set to enable the toh clock and frame pulse. rx_toh_fp o single clock frame pulse to indicate the serial link frame pulse. toh_ck_fp_en o when '1' indicates the toh serial link clock is enabled. toh_outxx o toh serial link output from channel xx table 15. fpga/embedded core interface signals (continued) ort8850 fpga/embedded core interface signals - sonet blocks fpga/embedded core interface signal name xx=[aa,?,bd] input (i) to or output (o) from core signal description
lattice semiconductor orca ort8850 data sheet 49 clock and data timing at the fpga/embedded core interface - sonet block (note: this section assumes a basic understanding of the lattice semiconductor isplever design tool set) this section provides examples of the clock and data timing relationships at the fpga/embedded core interface for both the parallel sonet data and the serial toh data. the initiation of a change of data is referred to as the "launch" time and the actual time of capture of the data is referred to as the "capture" time. two relationships are discussed, the relationship between data and clock at the interface itself and the relative timing constraints on the signals in the fpga logic between the interface and the launch/capture latch in the fpga portion of the fpsc. the isplever place and route tool will automatically attempt to meet the timing constraints by placing a frequency constraint on the corresponding clock and will report a non-routed condition if it is unable to do so. trace reports should also be generated using isplever to evaluate both the setup and the hold margins. the typical timing numbers used in the discussions are for illustration purposes and can vary due to both process and environmental variations and to differences in the routing through the fpga logic, especially for the data path. exact timing numbers should always be obtained from isplever. in all of the discussions in this section, the maximum reference clock frequency of 106 mhz is assumed. the pri- mary clock path delay was assumed to be 3 ns - this delay is well controlled in the fpga logic. a secondary clock path delay can vary from 1 to 3.5 ns - a delay of 2.5 ns was used in the payload data discussions and 2 ns for the toh discussions. the ve cases considered in the discussion are shown in table 16. the clock routing and timing con gurations shown in this section are recommended for the general user since they give the best timing margins. in the discus- sion, if both the core and fpga launch and latch data on the same edge, it is referred to as a "full cycle" mode. if they launch and latch on different edges, it is referred to as a "half cycle" mode. toh_xx_en o indicates state of register settings for tohxx_en protection switching signals (note: see also table 17 and table 18) prot_switch_aa i parallel protection switch select, channels aa and ab prot_switch_ac i parallel protection switch select, channels ac and ad prot_switch_ba i parallel protection switch select, channels ba and bb prot_switch_bc i parallel protection switch select, channels bc and bd lvds_prot_aa i lvds protection switch select, channel aa lvsd_prot_ab i lvds protection switch select, channel ab lvds_prot_ac i lvds protection switch select, channel ac lvds_prot_ad i lvds protection switch select, channel ad lvds_prot_ba i lvds protection switch select, channel ba lvds_prot_bb i lvds protection switch select, channel bb lvds_prot_bc i lvds protection switch select, channel bc lvds_prot_bd i lvds protection switch select, channel bd table 15. fpga/embedded core interface signals (continued) ort8850 fpga/embedded core interface signals - sonet blocks fpga/embedded core interface signal name xx=[aa,?,bd] input (i) to or output (o) from core signal description
lattice semiconductor orca ort8850 data sheet 50 table 16. operating modes and data paths - sonet logic block all timing is referenced to the clock signal at the fpga/core interface. data is also timed for signals at the fpga/core interface. there will be additional time delays until the interface signals reach the capturing latch. the primary or secondary path delay is controlled, as noted earlier, and the clock timing at the capture latch can be pre- dicted. the data delay, however, may be unique to each interconnect routing. the timing diagrams provide a quantitative picture of the relative importance of setup and hold margins for the cases discussed. in the diagrams, the launch and capture times and the time difference between the launching and capturing clock edges are identi ed. as the time between launch and capture increases (up to a full clock period), the possibility of a setup time problem decreases. also, the possibility of a setup time problem decreases for smaller maximum propagation delay values. if capture occurs before the next data is launched, a hold time problem cannot occur. in nearly all cases, the differ- ence between the launch and capture clock edges will be nearly a full clock cycle and the data will be captured before the next data is launched. this is not guaranteed, however, and isplever timing analysis should be done for each application. the general rules used for the fpga/core interface are as follows: 1. if possible, transfers across the fpga/core interface should be direct register to register transfers with minimal or preferably no intervening logic. 2. use positive (rising) edge ip- ops in the fpga for both input and output unless a timing diagram (case 1) explicitly indicates otherwise, or a special case (long routing path, etc.) is being considered. 3. attempt to ?locate? the fpga side ip- ops reasonably close to the interface unless other timing constraints prevent this. this ?locate? is typically achieved by placing a frequency constraint on the fpga_clk signal. in most cases, up the 3 ns of data path delay through the fpga logic in the ort8850 is acceptable. 4. pay attention to the clock routing resource recommended (these are xed on the ort8850), and to the delay and skew limits and the clock source points. 5. run trace setup and hold checks in isplever on the routed design taking the environmental constraints into account. (see isplever application note for details). for the cases where parallel data is output from the core, the reference clock is also output from the core and the effects of propagation delay variation are included in the discussion. propagation delay is de ned relative to the interface signals and thus is the time from the enabling (falling) edge of the clock from the core to the time that data is guaranteed to be valid at the interface. as an example, for the rst case discussed, the minimum (tprop_min) and maximum (tprop_max) propagation delays are 0.8 ns. and 4.7 ns. respectively. therefore the data outputs are sta- ble for 6.1 ns. (10 ns. - 3.9 ns.) of each clock cycle. the data must be captured during this stable period, i.e., the data signals must arrive at the capturing latch with adequate setup and hold margins versus the clock signal at the latch. in the rst case, figure 27, the alignment fifo is assumed to be bypassed and all timing is with respect to the recovered clock. the fpga is latched on the falling edge of the clock, an exception to the general recommenda- case data (note: xx =[aa, ?bd]) data path embedded core clock launch/latch fpga clock launch/latch clock/route 1 doutxx[7:0] core to fpga falling edge falling edge cdr_clk_xx/secondary 2 doutxx[7:0] core to fpga falling edge rising edge fpga_sysclk/primary 3 dinxx[7:0] fpga to core rising edge rising edge fpga_sysclk/primary 4 toh_outxx core to fpga rising edge rising edge from fpga/secondary 5 toh_inxx fpga to core falling edge rising edge from fpga/secondary
lattice semiconductor orca ort8850 data sheet 51 tions. (the clock edge on which data is latched in the core is hard wired to be the falling edge.) since the falling edge of the clock (fpga_clk) at the fpga latch occurs after the next data byte is launched, the delay from the interface to the fpga latch must be large enough that an acceptable hold time margin is obtained. however the maximum propagation delay is fairly large, so a half cycle approach might lead to setup time problems. figure 27. full cycle, alignment fifo bypass mode output con?uration and timing (-1 speed grade) d - q - t t note: xx = [aa, ab, ..., bd] doutxx[7:0] embedded core fpga logic 3.0 ns secondary clock 0.5 ns 1.3 ns retime_clk hsi_clk a. configuration b. timing (ns) fpga_clk cdr_clk_xx cdr_clk_xx retime_clk fpga_cl k data valid doutxx[7:0] 0.0 4.7 9.4 14.1 18.8 0.8 5.5 10.2 14.9 19.6 2.5 7.2 11.9 16.6 launch hold capture tprop_max = 4.7 tprop_min = 0.8
lattice semiconductor orca ort8850 data sheet 52 in the case shown in figure 28 the alignment fifo is used and all timing is with respect to the single reference clock, which is routed through the fpga as a primary clock. the capturing clock edge occurs after the launch of the next data byte, so hold time margin is of concern and an acceptably margin should be veri ed. launched data has nearly a full clock period to become stable at the capture latch, so setup margin should not be a problem. mov- ing the capture to the rising clock edge might give a setup time margin problem. figure 28. half cycle, alignment mode output con?uration and timing (-1 speed grade) d + q - t t doutxx[7:0] note: xx = [aa, ab, ... bd] embedded core fpga logic 3.0 ns primary clock 1.4 ns asb_clk a.) configuration fpga_clk fpga_sysclk fpga_sysclk asb_clk fpga_clk data valid doutxx[7:0] -1.4 3.3 8.0 12.7 17.4 3.0 7.7 12.4 17.1 hold capture tprop_max = 2.4 tprop_min = - 0.8 b.) timing (times in ns.) launch 0.0 4.7 9.4 14.1 18.8
lattice semiconductor orca ort8850 data sheet 53 figure 29 shows the timing for sending data from the fpga logic to the core. in the input case, the constraints on the data are speci ed in terms of setup and hold times on the data at the interface relative to the clock at the inter- face. for correct operation these constraints must be met. in the case shown, launch and capture occur on the same (rising) clock edge. data is captured before the next data is launched, so there will be no hold margin prob- lem. launched data also has nearly a full clock period to become stable at the capture latch, so setup margin should not be a problem. figure 29. full cycle, align and bypass mode input con?uration and timing (-1 speed grade) q + d + t embedded core fpga logic 3.0 ns primary clock 1.4 ns retime_clk a.) configuration a.) timing (ns) fpga_clk fpga_sysclk 2.4 ns . fpga_sysclk 0.0 4.7 9.4 14.1 fpga_clk 3.0 7.7 12.4 17.1 capture - 1.7 1.0 5.7 10.4 15.1 setup time - 1.3 retime_clk launch hold data valid dinxx[7:0 ] hold time = 2.3 requirements on t note: xx = [aa, ab, ..., bd] dinxx[7:0]
lattice semiconductor orca ort8850 data sheet 54 the next two examples show timing for serial toh data input and output. for these cases, the clock is generated in the fpga logic and the discussion accounts for the skew between the clock signal at the fpga latch and at the fpga/core interface. the clock is routed over a secondary clock path and the skew can vary by 3 ns. a value of + 2 ns was assumed in the discussions. figure 30 shows the timing for sending serial toh data from the core to the fpga logic with data being launched and latched on the same (rising) clock edge. as in the previous examples, setup and hold time constraints for the data versus the reference clock at the capturing latch must be met. data is not captured before the next data is launched, so there might be a hold time margin problem. launched data has nearly a full clock period to become stable at the capture latch and the maximum propagation delay is only 0.2 ns so setup margin should not be a problem for the timing relationships assumed. actual timing analysis should be performed for each application because of the wide range of possible skew values. figure 30. full cycle, toh output con?uration and timing (-1 speed grade) d + q + t t embedded core fpga logic secondary clock 0.4 ns asb_toh_cl k a. configuration b. timing (ns) fpga_clk toh_clk 3.0 ns skew +2.0 ns assumed toh_clk 0.0 4.7 9.4 14.1 18.8 asb_toh_clk 0.4 5.1 8.8 14.5 19.2 hold launch fpga_clk 2.0 6.7 11.4 capture 16.1 data valid toh_outxx tprop_max = 2.0 tprop_min = - 0.5 note: xx - [aa, ab, ..., bd] toh_outxx
lattice semiconductor orca ort8850 data sheet 55 figure 31 shows the timing for sending toh data from the fpga logic to the core. as in the earlier input example, the constraints on the data are speci ed in terms of setup and hold times on the data at the interface relative to the clock at the interface. in the case shown, launch and capture occur on different clock edges (rising edge in the fpga). data is captured before the next data is launched, so there will be no hold margin problem. launched data also has nearly a full clock period to become stable at the capture latch, so setup margin should not be a problem for the timing relationships assumed in the example. actual timing analysis should be performed for each applica- tion because of the wide range of possible skew values. figure 31. half cycle, toh input con?uration and timing (-1 speed grade) q + d - t t embedded core fpga logic secondary clock 1.8 ns asb_in_t oh_clk a. configuration b. timing (ns) fpga_clk toh_clk note: xx = [aa, ab, ..., bd] toh_inxx 3.0 ns skew +2.0 ns assumed toh_clk 0.0 4.7 9.4 capture 14.1 fpga_clk 2.0 6.7 11.4 16.1 launch 1.8 6.5 11.2 15.9 hold data valid asb_in_toh_clk toh_inxx requirements on hold time = 1.8 setup time = 0.0
lattice semiconductor orca ort8850 data sheet 56 powerdown mode powerdown mode will be entered when the corresponding channel is disabled. channels can be independently enabled or disabled under software control. parallel data bus output enable and toh serial data output enable signals are made available to the fpga logic. the hsi macrocell?s corresponding channel is also powered down. the device will power up with all eight channels in powerdown mode. protection switching there is built-in protection switching between the serdes channels, in the receive direction of the ort8850. pro- tection switching allows pairs of serdes channels to act as main and protect data links, and to switch between the main and protect links via a control register or fpga interface port. there are two types of protection switches: par- allel and lvds. parallel protection switching takes place just before the fpga interface ports, and after the alignment fifo. the alignment fifo must be used for this type of protection switching. it is possible to bypass the pointer inter- preter/mover and still use the parallel protection switching. in this mode, serdes channels aa and ab are used as main and protect. when selected for main, channel aa is used to provide data on interface ports aa. when selected for protect, channel ab is used to provide data on fpga interface ports aa. the same scheme is used for channel groupings ac/ad, ba/bb, and bc/bd there are two ways to control the parallel protection switching, interface signal and software control. on the fpga interface, there are 4 input signals to the ort8850 core that will select between a main and a protect channel. when using the interface signal to control protection switching, only the parallel data is switched; the serial toh data outputs are not switched. software control will switch both the parallel data and the serial toh data outputs to the fpga. the software con- trol register is found at 0x30009 in the memory map (table 19). table 17. register settings, parallel protection switching lvds protection switching takes place at the lvds buffer before the serial data is sent into the data recovery (cdr). the selection is between the main lvds buffer and the protect lvds buffer. the work lvds buffers are txdxx_w_[p:n], while the protect lvds buffers are txdxx_p_[p:n]. when operating using the lvds buffers (default), no status information is available on the protect lvds buffers since the serial stream must reach the sonet framer before status information is available on the data stream. the same is also true for the work lvds buffers when operating with the protect buffers. there are two ways to control the lvds protection switching, interface and software control. on the fpga inter- face, there are eight input signals to the ort8850 core that will select between the work and protect lvds buffers. fpga interface signal when ?0? when ?1? prot_switch_aa channel ab data on doutaa channel aa data on doutaa prot_switch_ac channel ad data on doutac channel ac data on doutac prot_switch_ba channel bb data on doutba channel ba data on doutba prot_switch_bc channel bd data on doutbc channel bc data on doutbc table 18. lvds protection switching fpga interface signal when ?0? when ?1? lvds_prot_aa channel aa gets txd_aa_w_[p:n] channel aa gets txd_aa_p_[p:n] lvds_prot_ab channel ab gets txd_ab_w_[p:n] channel ab gets txd_ab_p_[p:n] lvds_prot_ac channel ac gets txd_ac_w_[p:n] channel ac gets txd_ac_p_[p:n] lvds_prot_ad channel ad gets txd_ad_w_[p:n] channel ad gets txd_ad_p_[p:n]
lattice semiconductor orca ort8850 data sheet 57 for software control of the lvds protection switching there is an enable bit to enable software control, and a bit per channel which selects main or protect. the enable register is at 0x30008 in the memory map (table 19). memory map the memory map for the ort8850 core is only part of the full memory map of the ort8850 device. the ort8850 is an orca series4 based device and thus uses the system bus as a communication bridge. the ort8850 core register map contained in this data sheet only covers the embedded asic core of the device, not the entire device. the system bus itself, and the generic fpga memory map, are fully documented in the mpi/system bus applica- tion note. as part of the system bus, the embedded asic core of an fpsc is located at address offset 0x30000. the ort8850 embedded core is an eight-bit slave interface on the series 4 system bus. each orca device contains a device id. this device id is unique to each orca device and can be used for device identi cation and assist in system debugging. the device id is located at absolute address 0x00000 - 0x00003. the ort8850h?s device id is 0xdc0123c0 and the ort8850l?s device id is 0xdc0121c0. more information on the device id and other series 4 generic registers can be found in the mpi/system bus application note. the ort8850 core registers are clocked by the reference clock sys_clk_p/n. if a clock is not provided to the ref- erence clock, the registers will fail to operate. the ort8850 core registers do not check for parity on a write operation. on a read operation, no parity is gener- ated, and a ?0? is passed back to the initiating bus master interface on the parity signal line. registers access and general description the memory map comprises three address blocks: ? generic register block: id, revision, scratch pad, lock and reset register. ? device register block: control and status bits, common to the eight channels in each of the two quad interfaces. ? channel register blocks: each of the four channels in both quads have an address block. the four address blocks in both quads have the same structure, with a constant address offset between channel register blocks. all registers are write-protected by the lock register, except for the scratch pad register. the lock register is a 16-bit read/write register. write access is given to registers only when the key value 0x0580 is present in the lock register. an error ag will be set upon detecting a write access when write permission is denied. the default value is 0x0000. after power-up reset or soft reset, unused register bits will be read as zeros. unused address locations are also read as zeros. bit in write-only registers will always be read as zeros. this table is constructed to show the correct values when read and written via the system bus mpi interface. when using this table while interfacing with the system bus user logic master interface, the data values will need to be byte ipped. this is due to the opposite orientation of the mpi and master interface bus ordering. more infor- mation on this can be found in the mpi/system bus application note (tn1017). lvds_prot_ba channel ba gets txd_ba_w_[p:n] channel ba gets txd_ba_p_[p:n] lvds_prot_bb channel bb gets txd_bb_w_[p:n] channel bb gets txd_bb_p_[p:n] lvds_prot_bc channel bc gets txd_bc_w_[p:n] channel bc gets txd_bc_p_[p:n] lvds_prot_bd channel bd gets txd_bd_w_[p:n] channel bd gets txd_bd_p_[p:n] table 18. lvds protection switching (continued) fpga interface signal when ?0? when ?1?
lattice semiconductor orca ort8850 data sheet 58 table 19. memory map descriptions (0x) absolute address bit type name reset value (0x) description 30000 [0:7] r - 05 internal device revision 30001 [0:7] r - 80 internal device revision 30002 [0:7] r - 80 internal device revision 30003 [0:7] r/w scratch pad 00 the scratch pad has no function and is not used anywhere in the core. however, this register can be written to and read from for debugging purposes. 30004 [0:7] r/w lockreg msb 00 in order to write to registers in memory locations 0x30006 to 0x300ff, lockreg msb and lockreg lsb must be respectively set to the values of 05 and 80. if the msb and lsb lockreg val- ues are not set to {05, 80}, then any values written to the regis- ters in memory locations 0x30006 to 0x300ff will be ignored. after reset (both hard and soft), the core is in a write locked mode. the core needs to be unlocked before it can be written to. also note that the scratch pad register (0 x 30003) can always be written to as it is unaffected by write lock mode. 30005 [0:7] r/w lockreg lsb 00 30006 [0] r/w global reset 0 the global reset is a soft (software initiated) reset which will have the exact reset effect as a hard (rst_n pin) reset.this is a pulse register and does not have to be cleared. [1-7] - not used 0 30007 [0:7] - not used 00 device register blocks 30008 [0] r/w lvds loopback control 0 0 = no loopback 1 = lvds loopback, transmit to receive. tx serial data is looped back to the rx serial input. tx data is still available at the tx pins [1] - not used 0 [2] - not used 0 [3] r/w lvds protection switch enable 0 0 = protection switching performed via bit settings in registers 0x30037 etc. 1 = protection switching performed via hardware pins lvds_prot_switch_xx [4] r/w toh rx serial enable 0 toh_ck_fp_en signal [5-7] - not used 0
lattice semiconductor orca ort8850 data sheet 59 30009 [0] r/w toh serial port output mux select for aa/ab 1 0 = ab toh is output on aa 1 = aa toh is output on aa [1] r/w toh serial port output mux select ac/ad 1 0 = ad toh is output on ac 1 = ac toh is output on ac [2] r/w dout parallel port output mux select for aa/ab 1 0 = ab data is output on aa 1 = aa data is output on aa [3] r/w dout parallel port output mux select for ac/ad 1 0 = ad data is output on ac 1 = ac data is output on ac [4] r/w toh serial port output mux select for ba/bb 1 0 = bb toh is output on ba 1 = ba toh is output on ba [5] r/w dout serial port output mux select for bc/bd 1 0 = bd toh is output on bc 1 = bc toh is output on bc [6] r/w toh parallel port output mux select for ba/bb 1 0 = bb data is output on ba 1 = ba data is output on ba [7] r/w dout parallel port output mux select bc/bd 1 0 = bd data is output on bc 1 = bc data is output on bc 3000a [0:4] r/w fifo aligner threshold value (min) 40 decimal 2 minimum threshold value for the per channel receive direction alignment fifos. if and when the minimum threshold value is violated by a particular channel, then the ?fifo aligner thresh- old error? alarm bit will be generated for that channel and if enabled, latched as a ?fifo aligner threshold error ag? in the respective channel alarm register. the allowable range for minimum threshold values is 1 to 23. note that the minimum fifo aligner threshold value applies to all eight channels. msb bit is 4. [5-7] - not used n/a 3000b [0:4] - fifo aligner threshold value (max) a8 decimal 15 the allowable range for maximum threshold values is 0 to 22. msb bit is 4 [5-7] - not used n/a table 19. memory map descriptions (continued) (0x) absolute address bit type name reset value (0x) description
lattice semiconductor orca ort8850 data sheet 60 3000c [0:3] r/w number of consec- utive a1 a2 errors to generate [0:3] 00 if a particular channel?s ?a1 a2 error insert command? control bit is set to the value 1 then the ?a1 and a2 error insert values? will be inserted into that channels respective a1 and a2 bytes. the number of consecutive frames to be corrupted is deter- mined by the ?number of consecutive a1 a2 errors to generate [0:3]? control bits. msb is bit 3 [4] r/w backplane side loopback control 0 0 = no loopback. 1 = rx to tx loopback on backplane side. serial input is run through serdes and sonet block, then looped back in paral- lel to serdes and out serial. [5] r/w dinxx/doutxx parallel bus parity control 1 0 = odd parity 1 = even parity [6] r/w scram- bler/descrambler 1 0 = no rx direction, descramble / tx direction scramble 1 = in rx direction, descramble channel after the sonet frame recovery. in tx direction, scramble data just before parallel-to- serial conversion [7] - not used 0 3000d [0:7] r/w a1 error insert value [0:7] 00 value of the a1 byte for error insert 3000e [0:7] r/w a2 error insert value [0:7] 00 value of the a2 byte for error insert 3000f [0:7] r/w transmit b1 error insert mask [0:7] 00 0 = no error insertion. 1 = invert corresponding bit in b1 byte. 30010 [0] r aa alarm 0 consolidation alarm for channel aa 1 = alarm 0 = no alarm. [1] r ab alarm 0 consolidation alarm for channel ab 1 = alarm 0 = no alarm. [2] r ac alarm 0 consolidation alarm for channel ac 1 = alarm 0 = no alarm. [3] r ad alarm 0 consolidation alarm for channel ad 1 = alarm 0 = no alarm. [4-7] - not used 0 30011 [0] r/w aa/ba alarm enable/mask regis- ter 0 aa and ba enable 1 = enabled 0 = not enabled [1] r/w ab/bb alarm enable/mask regis- ter 0 ab and bb enable 1 = enabled 0 = not enabled [2] r/w ac/bc alarm enable/mask regis- ter 0 ac and bc enable 1 = enabled 0 = not enabled [3] r/w ad/bd alarm enable/mask regis- ter 0 ad and bd enable 1 = enabled 0 = not enabled [4-7] - not used 0 table 19. memory map descriptions (continued) (0x) absolute address bit type name reset value (0x) description
lattice semiconductor orca ort8850 data sheet 61 30012 [0] r frame offset error ag 0 if in the receive direction the phase offset between any two channels exceeds 17 bytes, then a frame offset error event will be issued. this condition is continuously monitored. write a ?1? to clear this bit [1] r write to locked reg- ister error ag 0 if the core memory map has not been unlocked (by writing to the lock registers), and any address other than the lockreg reg- isters or scratch pad register is written to, then a ?write to locked register? event will be generated. write a ?1? to clear this bit [2-7] - not used n/a 30013 [0] r/w frame offset error enable 0 frame offset error ag enable. 0 = not enable 1 = enable [1] r/w write to locked reg- ister for error enable 0 write to locked register error ag enable 0 = not enable 1 = enable [2-7] - not used 0 30014 [0] r ba alarm 0 consolidation alarm for channel ba 0 = no alarm 1 = alarm [1] r bb alarm 0 consolidation alarm for channel bb 0 = no alarm 1 = alarm [2] r bc alarm 0 consolidation alarm for channel bc 0 = no alarm 1 = alarm [3] r bd alarm 0 consolidation alarm for channel bd 0 = no alarm 1 = alarm [4-7] r not used 0 30015 [0:7] - not used 00 30016 [0:1] r/w stm a mode con- trol 0 00 - quad sts-12 or sts-48. 10 - quad sts-3. [2:3] r/w stm b mode con- trol 0 00 - quad sts-12 or sts-48. 10 - quad sts-3. [4-7] - not used 0 table 19. memory map descriptions (continued) (0x) absolute address bit type name reset value (0x) description
lattice semiconductor orca ort8850 data sheet 62 30017 [0] r/w bd resync 0 channel bd alignment fifo resync. write ?1? to resync this channel. when no alignment is used, this resets the read pointer to the middle of the fifo. write ?0? for normal operation [1] r/w bc resync 0 channel bc alignment fifo resync. write ?1? to resync this channel. when no alignment is used, this resets the read pointer to the middle of the fifo. write ?0? for normal operation [2] r/w bb resync 0 channel bb alignment fifo resync. write ?1? to resync this channel. when no alignment is used, this resets the read pointer to the middle of the fifo. write ?0? for normal operation [3] r/w ba resync 0 channel ba alignment fifo resync. write ?1? to resync this channel. when no alignment is used, this resets the read pointer to the middle of the fifo. write ?0? for normal operation [4] r/w ad resync 0 channel ad alignment fifo resync. write ?1? to resync this channel. when no alignment is used, this resets the read pointer to the middle of the fifo.write ?0? for normal operation [5] r/w ac resync 0 channel ac alignment fifo resync. write ?1? to resync this channel. when no alignment is used, this resets the read pointer to the middle of the fifo.write ?0? for normal operation [6] r/w ab resync 0 channel ab alignment fifo resync. write ?1? to resync this channel. when no alignment is used, this resets the read pointer to the middle of the fifo.write ?0? for normal operation [7] r/w aa resync 0 channel aa alignment fifo resync. write ?1? to resync this channel. when no alignment is used, this resets the read pointer to the middle of the fifo.write ?0? for normal operation 30018 [0] r/w ad/bd resync 0 2-link ad/bd alignment fifo resync. write ?1? to resync this link. write ?0? for normal operation. [1] r/w ac/bc resync 0 2-link ac/bc alignment fifo resync. write ?1? to resync this link. write ?0? for normal operation. [2] r/w ab/bb resync 0 2-link ab/bb alignment fifo resync. write ?1? to resync this link. write ?0? for normal operation. [3] r/w aa/ba resync 0 2-link aa/ba alignment fifo resync. write ?1? to resync this link. write ?0? for normal operation. [4] r/w stm b resync 0 quad b alignment resync. write ?0? for normal operation. [5] r/w stm a resync 0 quad a alignment fifo resync write ?0? for normal operation. [6] r/w all 8 resync 0 all 8 channel alignment fifo resync. write ?0? for normal operation. [7] - not used n/a table 19. memory map descriptions (continued) (0x) absolute address bit type name reset value (0x) description
lattice semiconductor orca ort8850 data sheet 63 30019 [0:7] - not used 00 channel register blocks 30020* 30038 30050 30068 30080 30098 300b0 300c8 [0] r/w ais-l insert in oof 0 0 = when rx direction oof occurs, do not insert ais-l. 1 = when rx direction oof occurs, insert ais-l. [1] r/w ais-l control 0 0 = do not force ais-l insert 1 = always force ais-l insert [2] r/w toh output par- ity error insert 0 0 = do not insert a parity error 1 = insert parity error in parity bit of receive toh serial out- put for as long as this bit is set [3] r/w rx k1/k2 source select 0 0 = set receive direction k1 k2 bytes to 0. 1 = pass receive direction k1 k2 through pointer mover. [4] r/w doutxx bus par- ity error insert 0 0 = do not insert parity error. 1 = insert parity error in doutxx_par for as long as this bit is set. [5] r/w channel enable/disable control 0 0 = power down cdr channels 1 = functional mode. [6] r/w doutxx_en 0 doutxx_en signal [7] r/w toh_en 0 tohxx_en signal 30021* 30039 30051 30069 30081 30099 300b1 300c9 [0] r/w d9 source select 0 0 = insert d9 from toh_inxx 1 = pass through d9 from dinxx [1] r/w d10 source select 0 0 = insert d10 from toh_inxx 1 = pass through d10 from dinxx [2] r/w d11 source select 0 0 = insert d11 from toh_inxx 1 = pass through d11 from dinxx [3] r/w d12 source select 0 0 = insert d12 from toh_inxx 1 = pass through d12 from dinxx [4] r/w k1 k2 source select 0 0 = insert k1, k2 from toh_inxx 1 = pass through k1, k2 from dinxx [5] r/w s1 m0 source select 0 0 = insert s1, m0, from toh_inxx 1 = pass through s1 m0 of dinxx [6] r/w e1 f1 e2 source select 0 = insert e1, f1, e2 from toh_inxx on fpga interface 1 = pass through e1, f1, e2 toh bytes of dinxx [7] r/w toh source select 0 0 = insert toh from toh_inxx on fpga interface for transmit 1 = pass through all toh dinxx for transmit 30022* 3003a 30052 3006a 30082 3009a 300b2 300ca [0:7] r/w d1~d8 source select 00 0 = insert toh for transmit from toh_inxx from the fpga interface. 1 = pass through d1~d8 toh bytes from dinxx. table 19. memory map descriptions (continued) (0x) absolute address bit type name reset value (0x) description
lattice semiconductor orca ort8850 data sheet 64 30023* 3003b 30053 3006b 30083 3009b 300b3 300cb [0] r/w a1 a2 error insert command 0 0 = do not insert error. 1 = insert error for number of frames in register 0x3000c. the error insertion is based on a rising edge detector. as such, the control must be set to value 0 before trying to indicate a second a1, a2 corruption [1] r/w b1 error insert command 0 . 0 = do not insert error 1 = insert error marked in register 0x3000f. the error insertion is based on a rising edge detector. as such, the control must be set to value 0 before trying to ini- tiate a second b1 corruption. [2] r/w disable b1 insert 0 0 = b1 is inserted in the transmit direction by the sonet block 1 = b1 is not inserted in the transmit direction [3] r/w disable a1/a2 insert 0 0 = a1/a2 is inserted in the transmit direction by the sonet block 1 = a1/a2 is not inserted in the transmit direction [4-7] - not used 0 30024* 3003c 30054 3006c 30084 3009c 300b4 300cc [0:3] r concat indication 3, 6, 9, 12 0 the value 1 in any bit location indicates that sts# is in concat mode. 0 = not in concatenation mode or is the head of concate- nated group 1 = indicates the channel is concatenated [4-7] - not used 0 30025* 3003d 30055 3006d 30085 3009d 300b5 300cd [0:7] r concat indication 1, 4, 7, 10, 2, 5, 8, 11 0 the value 1 in any bit location indicates that sts# is in concat mode. 0 = not in concatenation mode or is the head of concate- nated group 1 = indicates the channel is concatenated 30026* 3003e 30056 3006e 30086 3009e 300b6 300ce [0] r channel alarm bit 0 set when any of the alarms in the channel alarm register (0x30028) are set and the alarm is enabled. this alarm is enabled in 0x30027 bit 0 for channel aa etc. [1] r ais-p ag 0 set when any alarm for ais-p is set and the corresponding enable is set. [2] r pointer mover elastic store over ow ag 0 set when the elastic store in the pointer mover write and read address is within 1 byte. alarm enable is 0x30027 bit 2. [3-7] - not used 0 table 19. memory map descriptions (continued) (0x) absolute address bit type name reset value (0x) description
lattice semiconductor orca ort8850 data sheet 65 30027* 0303f 30057 3006f 30087 3009f 300b7 300cf [0] r/w enable channel alarm 0 channel alarm bit (30026, ...) enable. set to 1 to enable alarm bit to propagate to alarm 0x30010 [1] r/w enable ais-p ag 0 ais -p ag alarm enable. set to 1 to enable alarm bit to propagate to alarm 0x30010 [2] enable pointer mover elastic store over ow ag 0 pointer mover elastic store over ow ag enable. set to 1 to enable alarm bit to propagate to 0x30010 [3-7] - not used 0 30028* 30040 30058 30070 30088 300a0 300b8 300d0 [0] r fifo aligner threshold error ag 00 alarm is set to 1 if either the min or max fifo threshold levels are violated, the min and max threshold levels can be set in address 0x3000a and 0x300b. alarm enable is 0x30029 bit 0. write 1 to clear this alarm bit this alarm is only valid when fifo oos ag is also set. [1] rx internal path parity error ag alarm indicator on receive path internal parity error. alarm is enabled in 0x30029 bit 1. write 1 to clear [2] oof ag alarm indicator channel is oof. alarm enable is 0x30029 bit 2. write 1 to clear. [3] lvds link b1 par- ity error ag alarm indicator that channel has found a b1 parity error. alarm enable is 0x30029 bit 3. write 1 to clear. [4] dinxx parallel bus parity error ag 0 alarm indicator channel has found a parity error on the dinxx input from the fpga.alarm enable is 0x30029 bit 4. write 1 to clear. [5] toh serial input port parity error ag 0 alarm indicator channel has found a parity error on the toh_inxx input from the fpga. write 1 to clear this alarm. alarm enable is 0x30028 bit 5. [6] fifo oos error ag 0 alarm indicates channel group is out of sync. write 1 to clear. alarm enable is 0x30028. [7] - not used 0 30029* 30041 30059 30071 30089 300a1 300b9 300d1 [0:6] r/w channel alarm enable 00 enable bits for channel alarm register 0x30028. set to 1 to enable and to propagate the alarm to register 0x30026 bit 0. [7] - not used 0 3002a* 30042 3005a 30072 3008a 300a2 300ba 300d2 [0:3] r ais alarm ags 3, 6, 9, 12 0 these are the ais-p alarm ags. 1 if the lvds input sts # contains ais. [4-7] - not used 0 table 19. memory map descriptions (continued) (0x) absolute address bit type name reset value (0x) description
lattice semiconductor orca ort8850 data sheet 66 3002b* 30043 3005b 30073 3008b 300a3 300bb 300d3 [0:7] r ais alarm ags 1, 4, 7, 10, 2, 5, 8, 11 00 these are the ais-p alarm ags. 1 if the lvds input sts # contains ais. 3002c* 30044 3005c 30074 3008c 300a4 300bc 300d4 [0:3] r/w enable ais alarm 3, 6, 9, 12 0 enable bits for ais alarms. set to 1 to enable and propa- gate the alarm to register 0x30026. [4-7] - not used 0 3002d* 30045 3005d 30075 3008d 300a5 300bd 300d5 [0:7] r/w ais alarm enable 1, 4, 7, 10, 2, 5, 8, 11 00 enable bits for ais alarms. set to 1 to enable and propa- gate the alarm to register 0x30026. 3002e* 30046 3005e 30076 3008e 300a6 300be 300d6 [0:3] r pointer mover elastic store over- ow ags 12, 9, 6, 3 0 per sts-1 pointer mover elastic store over ow alarm ags. this alarm will propagate to 0x30026 bit 2 when enabled [4-7] - not used 0 3002f* 30047 3005f 30077 3008f 300a7 300bf 300d7 [0:7] r pointer mover elastic store over- ow ags 4, 7, 10, 2, 5, 8, 11 00 per sts-1 pointer mover elastic store over ow alarm ags. this alarm will propagate to 0x30026 bit 2 when enabled 30030* 30048 30060 30078 30090 300a8 300c0 300d8 [0:3] r/w enable elastic store over ow ag 12, 9, 6, 3 0 enable bit for elastic store alarms. set 1 to enable alarm and propagate alarm to register 0x30026 [4-7] - not used 0 table 19. memory map descriptions (continued) (0x) absolute address bit type name reset value (0x) description
lattice semiconductor orca ort8850 data sheet 67 30031* 30049 30061 30079 30091 300a9 300c1 300d9 [0:7] enable elastic store over ow ag 1, 4, 7, 10, 2, 5, 8, 11 00 enable bit for elastic store alarms. set 1 to enable alarm and propagate alarm to register 0x30026. 30032* 3004a 30062 3007a 30092 300aa 300c2 300da [0:6] r b1 parity error counter 00 7 bit counter for the number of b1 parity errors in the receive direction of the channel. clear on read. bit 6 is msb [7] r b1 parity error counter over ow 0 over ow bit for b1 parity error counter 30033* 3004b 30063 3007b 30093 300ab 300c3 300db [0:6] r oof counter 00 7 bit counter for the number of in-frame to oof transitions. clear on read. bit 6 is msb [7] r oof counter over ow 0 over ow bit for oof counter 30034* 3004c 30064 3007c 30094 300ac 300c4 300dc [0:6] r a1 a2 frame error counter 00 this counter increments when an errored frame pattern is detected by the framer. note that this is different from oof. in oof state, you can detect the correct framing pat- tern and still be out-of-frame. [7] r a1, a2 error counter over ow 0 over ow bit for a1/a2 error counter 30035* 3004d 30065 3007d 30095 300ad 300c5 300dd [0:4] r fifo depth regis- ter 30 current value of the channel?s read address of the align- ment fifo. bit 4 is the msb [5-7] - not used 0 30036* 3004e 30066 3007e 30096 300ae 300c6 300de [0:7] r sampler phase error counter 00 this is coming from the sampler block. the sampler looks for bit transitions 0->1->0 to determine if the transitions occur after 4 repeated bits. for e.g.: if you have 000011110000 then the 0->1->0 transition occurs in the 5th and 9th positions. it uses this to select one of the 4 repeated bits and form a repeated byte. when the transi- tions happen at different bit positions, then the phase error merely indicates that this has happened table 19. memory map descriptions (continued) (0x) absolute address bit type name reset value (0x) description
lattice semiconductor orca ort8850 data sheet 68 30037* 3004f 30067 3007f 30097 300af 300c7 300df [0] r/w bypass pointer mover 0 0 = use pointer mover 1 = bypass pointer mover. [1] r/w bypass pointer mover and align- ment fifo 0 0 = uses alignment fifo and pointer mover 1 = bypass alignment fifo and pointer mover. [2] enable work/pro- tect channels 0 bit to control the lvds receivers to cdr. 0 = use lvds receivers from hsi work channels. 1 = use lvds receivers from hsi protect channels. [3:4] r multichannel alignment control 00 00 = no alignment. 10 = align with twin (i.e., stm b stream a). 01 = align with all 4 (i.e., stm a all streams). 11 = align with all 8 (i.e., stm a and b all streams). [5] r rx path sonet framer 0 0 = enable framer. 1 = disable sonet framing data is passed through [6-7] - not used 0 300e0 [0] r/w reserved 0 reserved, must be set to 0. [1] r/w cdr control register 0 always set to zero [2] - not used 0 [3] r/w cdr control register 0 when set to 1, controls bypass of 16 pll generated phases with 16 low-speed phases. [4] r/w cdr control register 0 enables cdr loopback. 0 = no loopback. 1 = loopback tx to rx. [5] r/w cdr control register 0 enables bypassing of the internal 622 mhz clock with tstclk. must be used for simulation 0 = use pll. 1 = bypass pll (uses tstclk as reference clock). [6] r/w cdr control register 0 enables cdr test mode. initiates cdr?s built-in self-test: 0 = regular mode. 1 = test mode. [7] - not used 0 300e1 [0:7] r/w half rate per channel select for half rate mode can only be used in pure bypass mode. bit 7 is for channel bd, bit 6 is for bc etc. 0 = full rate 1 = half rate 300e2 [0:7] r/w quad rate per channel select for quad rate mode can only be used in pure bypass mode. bit 7 is for channel bd, bit 6 is for bc etc. 0 = full rate 1 = quad rate * for channels aa, ab, ac, ad, ba, bb, bc, bd respectively note: registers at addresses 300e3 must remain at their default (reset) settings and must not be changed by the user. table 19. memory map descriptions (continued) (0x) absolute address bit type name reset value (0x) description
lattice semiconductor orca ort8850 data sheet 69 electrical characteristics absolute maximum ratings stresses in excess of the absolute maximum ratings can cause permanent damage to the device. these are abso- lute stress ratings only. functional operation of the device is not implied at these or any other conditions in excess of those given in the operations sections of this data sheet. exposure to absolute maximum ratings for extended periods can adversely affect device reliability. the orca series 4 fpscs include circuitry designed to protect the chips from damaging substrate injection cur- rents and to prevent accumulations of static charge. nevertheless, conventional precautions should be observed during storage, handling, and use to avoid exposure to excessive electrical stress. table 20. absolute maximum ratings recommended operating conditions table 21. recommended operating conditions for fpga recommended operating conditions and electrical characteristics, see the recommended operating conditions and electrical characteristics tables in the orca series 4 fpga data sheet (ort8850l: or4e02, ort8850h: or4e06) and the orca series 4 i/o buffer technical note. fpsc standby currents (i dd sb15 and i dd sb33) are tested with the embedded core in the powered down state. notes: 1. v dd a_stm is an analog power supply input which needs to be isolated from other power supplies on the board. 2. v dd 33 is an analog power supply for the fpga plls and needs to be isolated from other power supplies on the board. parameter symbol min. max. units storage temperature t stg -65 150 c power supply voltage with respect to ground v dd 33 2 -0.3 4.2 v v dd io -0.3 4.2 v v dd 15 -0.3 2.0 v v dd a_stm 1 -0.3 2.0 v input signal with respect to ground ? -0.3 v dd io + 0.3 v signal applied to high-impedance output ? -0.3 v dd io + 0.3 v maximum package body (soldering) temperature ? ? 220 c parameter symbol min. max. units power supply voltage with respect to ground* v dd 33 2 2.7 3.6 v v dd 15 1.425 1.575 v v dd a_stm 1 1.425 1.575 v input voltages v in -0.3 v ddio + 0.3 v junction temperature t j -40 125 c
lattice semiconductor orca ort8850 data sheet 70 power supply decoupling lc circuit the 850 mhz hsi macro contains both analog and digital circuitry. the data recovery function, for example, is implemented as primarily a digital function, but it relies on a conventional analog phase-locked loop to provide its 850 mhz reference frequency. the internal analog phase-locked loop contains a voltage-controlled oscillator. this circuit will be sensitive to digital noise generated from the rapid switching transients associated with internal logic gates and parasitic inductive elements. generated noise that contains frequency components beyond the band- width of the internal phase-locked loop (about 3 mhz) will not be attenuated by the phase-locked loop and will impact bit error rate directly. thus, separate power supply pins are provided for these critical analog circuit ele- ments. additional power supply ltering in the form of a lc lter section will be used between the power supply source and these device pins as shown in figure 32. the corner frequency of the lc lter is chosen based on the power supply switching frequency, which is between 100 khz and 300 khz in most applications. capacitors c1 and c2 are large electrolytic capacitors to provide the basic cut-off frequency of the lc lter. for example, the cutoff frequency of the combination of these elements might fall between 5 khz and 50 khz. capaci- tor c3 is a smaller ceramic capacitor designed to provide a low-impedance path for a wide range of high-frequency signals at the analog power supply pins of the device. the physical location of capacitor c3 must be as close to the device lead as possible. multiple instances of capacitors c3 can be used if necessary. the recommended lter for the hsi macro is shown below: l = 4.7 h, rl = 1 , c1 = 0.01 f, c2 = 0.01 f, c3 = 4.7 f. figure 32. sample power supply filter network for analog hsi power supply pins 5-9344(f) if the programmable plls on the fpga portion of the device are to be used, then the v dd 33 supply must isolated in the same way. more information on this and other requirements for the fpga plls can be found in technical note tn1011, orca series 4 i/o tuning via pll available on the lattice web site at www .latticesemi.com . c2 + c3 + to device pll_v ssa c1 + from power supply source l v dda _stm
lattice semiconductor orca ort8850 data sheet 71 hsi electrical and timing characteristics table 22. maximum power dissipation table 23. recommended operating conditions table 24. receiver speci?ations parameter conditions min. typ. max. units power dissipation serdes, scrambler/descrambler, framer, fifo alignment, pointer mover, and i/o (per channel), 622 mbtis/s ? ? 125 mw 1. with all channels operating, 1.575 v and 3.6 v supplies, 85oc. parameter conditions min. typ. max. units v dd 15 supply voltage ? 1.425 ? 1.575 v junction temperature t j ?40 ? 125 c parameter conditions min. typ. max. units input data stream of nontransitions 1 ? ? ? 72 bits phase change, input signal over a 200 ns time interval 2 ? ? 100 ps eye opening 3 ? 0.4 ? ? uip-p jitter tolerance @ 622 mbits/s, worst case 300 mv diff eye 4 ? ? 0.6 uip-p jitter tolerance @ 155 mbits/s, worst case 250 mv diff eye 5 ? ? 0.85 uip-p 1. this sequence should not occur more than once per minute. 2. translates to a frequency change of 500 ppm. 3. a unit interval for 622.08 mbits/s data is 1.6075 ns. 4. with sts-12 data pattern, all channels operating, fpga logic active, refclk jitter of 30 ps., ta=0c to 85c, 1.425 v to 1.57 5 v supply. jit- ter measured with a wavecrest sia-3000. 5. with sts-3 data pattern, all channels operating, fpga logic active, refclk jitter of 30 ps., ta=0c to 85c, 1.425 v to 1.575 v supply. jit- ter measured with a wavecrest sia-3000.
lattice semiconductor orca ort8850 data sheet 72 table 25. channel output jitter (622 mbits/s) table 26. channel output jitter (155 mbits/s) table 27. synthesizer speci?ations parameter conditions min. typ. 1 max. 1 units deterministic ? 0.09 0.10 uip-p random ? 0.11 0.14 uip-p total 2,3 ? 0.20 0.24 uip-p 1. with prbs 2^7 data pattern, all channels operating, fpga logic active, refclk jitter of 30 ps., 0c to 85c, 1.425 v to 1.575 v supply. 2. wavecrest sia-3000 instrument used to measure one-sigma (rms) random jitter component value. this value is multiplied by 14 t o provide the peak-to-peak value that corresponds to a ber of 10 -12 . 3. total jitter measurement performed with wavecrest sia-3000 at a ber of 10 -12 . see instrument documentation and other wavecrest publi- cations for a detailed discussion of jitter types included in this measurement. parameter conditions min. typ. 1 max. 1 units deterministic ? 0.027 0.035 uip-p random ? 0.053 0.065 uip-p total 2,3 ? 0.08 0.10 uip-p 1. with prbs 2^7 data pattern, all channels operating, fpga logic active, refclk jitter of 30 ps., 0c to 85c, 1.425 v to 1.575 v supply. 2. wavecrest sia-3000 instrument used to measure one-sigma (rms) random jitter component value. this value is multiplied by 14 t o provide the peak-to-peak value that corresponds to a ber of 10 -12 . 3. total jitter measurement performed with wavecrest sia-3000 at a ber of 10 -12 . see instrument documentation and other wavecrest publi- cations for a detailed discussion of jitter types included in this measurement. parameter conditions min typical max unit pll 1 loop bandwidth ? ? ? 6 mhz jitter peaking ? ? ? 2 db power-up reset time ? 10 ? ? s lock acquisition time ? ? ? 1 ms input reference clock frequency ? 62.5 ? 106.25 mhz frequency deviation 2 ? -350 ? 350 ppm phase change over a 200 ns time interval 3 ? ? 100 ps 1. external 10 k resistor to analog ground required. 2. the frequency deviation allowed between the transmitter reference clock and receiver reference clock on a given link. 3. translates to a frequency change of 500 ppm.
lattice semiconductor orca ort8850 data sheet 73 embedded core lvds i/o table 28. driver dc data table 29. driver ac data 1 parameter symbol test conditions min. typ. max. units output voltage high, v oa or v ob v oh r load = 100 1% ? ? 1.475 v output voltage low, v oa or v ob v ol r load = 100 1% 0.925 ? ? v output differential voltage ? v od ? r load = 100 1% 0.25 ? 0.45 v output offset voltage v os r load = 100 1% 1.125 ? 1.275 v output impedance, differential r o v cm = 1.0 v and 1.4 v 80 100 120 w r o mismatch between a and b r o v cm = 1.0 v and 1.4 v ? ? 10 % change in differential voltage between complementary states ? v od ? r load = 100 1% ? ? 25 mv change in output offset voltage between complementary states v os r load = 100 1% ? ? 25 mv output current i sa, i sb driver shorted to gnd ? ? 24 ma output current i sab drivers shorted together ? ? 12 ma power-off output leakage |ixa|, |ixb| v dd = 0 v v pa d , v padn = 0 v?2.5 v ? ? 10 ma 1. v dd 33 = 3.1 v?3.5 v, v dd 15 = 1.4 v?1.6 v, ?40 ? c. 2. external reference, ref10 = 1.0 v 3%, ref14 = 1.4 v 3%. parameter symbol test conditions min. typ. max. units v od fall time, 80% to 20% t f z l = 100 1% c pa d = 3.0 pf, c pad = 3.0 pf 100 ? 210 ps v od rise time, 20% to 80% t r z l = 100 1% c pad = 3.0 pf, c pad = 3.0 pf 100 ? 210 ps differential skew |t phla ? t plhb | or |t phlb ? t plha | t skew1 any differential pair on pack- age at 50% point of the tran- sition ? ? 50 ps 1. v dd 33 = 3.1v - 3.5 v, v dd 15 = 1.4v - 1.6 v, -40 ? c.
lattice semiconductor orca ort8850 data sheet 74 lvds receiver buffer requirements table 30. receiver dc data 1 table 31. lvds operating parameters parameter symbol test conditions min. typ. max. units input voltage range, via or vib v i ? v gpd ? < 925 mv dc ? 1 mhz 0.0 1.2 2.4 v input differential threshold v idth ? v gpd ? < 925 mv 450 mhz ?100 ? 100 mv input differential hysteresis v hyst (+v idthh ) ? (?v idthl ) 25 ? ? mv receiver differential input impedance r in with build-in termination, center-tapped 80 100 120 1. v dd = 3.1v - 3.5v, 0 c - 125 c . parameter test conditions min. normal max. units transmit termination resistor ? 80 100 120 receiver termination resistor ? 80 100 120 temperature range ? ?40 ? 125 ? c power supply v dd 33 ? 3.0 ? 3.6 v power supply v dd 15 ? 1.425 ? 1.575 v power supply v ss ? ? 0 ? v note: under worst-case operating conditions, the lvds driver will withstand a disabled or unpowered receiver for an unlimited p eriod of time without being damaged. similarly, when outputs are short-circuited to each other or to ground, the lvds will not suffer permane nt damage. the lvds driver supports hot insertion. under a well-controlled environment, the lvds i/o can drive backplane as well as cable.
lattice semiconductor orca ort8850 data sheet 75 input/output buffer measurement conditions (on-lvds buffer) figure 33. ac test loads figure 34. output buffer delays figure 35. input buffer delays note: switch to v dd for t plz /t pzl ; switch to gnd for t phz /t pzh . 50 pf a. load used to measure propagation delay to the output under test to the output under test 50 pf v cc gnd 1 k b. load used to measure rising/falling edges v dd t phh v dd /2 v ss out[i] pa d out 1.5 v 0.0 v t pll pad out[i] ac test loads (shown above) ts[i] out 0.0 v 1.5 v t phh t pll pa d in[i] in 3.0 v v ss v dd /2 v dd pad in in[i]
lattice semiconductor orca ort8850 data sheet 76 termination resistor the lvds drivers and receivers operate on a 100 differential impedance, as shown below. external resistors are not required. the differential driver and receiver buffers include termination resistors inside the device package, as shown in figure 36 below. figure 36. lvds driver and receiver and associated internal components lvds driver buffer capabilities under worst-case operating condition, the lvds driver must withstand a disabled or unpowered receiver for an unlimited period of time without being damaged. similarly, when its outputs are short-circuited to each other or to ground, the lvds driver will not suffer permanent damage figure 37 illustrates the terms associated with lvds driver and receiver pairs. figure 37. lvds driver and receiver figure 38. lvds driver lvds driver 50 50 lvds receiver center tap device pins 100 external v gpd v oa v ob v ia v ib a b aa bb driver interconnect receiver v oa a v ob b c a c b r load v od = (v oa C v ob ) v
lattice semiconductor orca ort8850 data sheet 77 pin information this section describes the pins and signals that perform fpga-related functions. during con guration, the user- programmable i/os are 3-stated and pulled up with an internal resistor. if any fpga function pin is not used (or not bonded to package pin), it is also 3-stated and pulled up after con guration. table 32. fpga common-function pin descriptions symbol i/o description dedicated pins v dd 33 ? 3.3 v positive power supply. this power supply is used for 3.3 v con guration rams and internal plls. when using plls, this power supply should be well isolated from all other power supplies on the board for proper operation. v dd 15 ? 1.5 v positive power supply for internal logic. v dd io ? positive power supply used by i/o banks. v ss ? ground. ptemp i temperature sensing diode pin. dedicated input. reset i during con guration, reset forces the restart of con guration and a pull-up is enabled. after con- guration, reset can be used as a general fpga input or as a direct input, which causes all plc latches/ffs to be asynchronously set/reset. cclk o in the master and asynchronous peripheral modes, cclk is an output which strobes con guration data in. i in the slave or readback after con guration, cclk is input synchronous with the data on din or d[7:0]. cclk is an output for daisy-chain operation when the lead device is in master, peripheral, or system bus modes. done i as an input, a low level on done delays fpga start-up after con guration.* o as an active-high, open-drain output, a high level on this signal indicates that con guration is com- plete. done has an optional pull-up resistor. prgm i prgm is an active-low input that forces the restart of con guration and resets the boundary-scan circuitry. this pin always has an active pull-up. rd_cfg i this pin must be held high during device initialization until the init pin goes high. this pin always has an active pull-up. during con guration, rd_cfg is an active-low input that activates the ts_all function and 3- states all of the i/o. after con guration, rd_cfg can be selected (via a bit stream option) to activate the ts_all func- tion as described above, or, if readback is enabled via a bit stream option, a high-to-low transition on rd_cfg will initiate readback of the con guration data, including pfu output states, starting with frame address 0. rd_data/tdo o rd_data/tdo is a dual-function pin. if used for readback, rd_data provides con guration data out. if used in boundary-scan, tdo is test data out. cfg_irq /mpi_irq o during jtag, slave, master, and asynchronous peripheral con guration assertion on this cfg_irq (active-low) indicates an error or errors for block ram or fpsc initialization. mpi active-low inter- rupt request output, when the mpi is used. 1. the fpga states of operation section contains more information on how to control these signals during start-up. the timing of done release is controlled by one set of bit stream options, and the timing of the simultaneous release of all other con guration pins (and the activa- tion of all user i/os) is controlled by a second set of options.
lattice semiconductor orca ort8850 data sheet 78 special-purpose pins m[3:0] i during power-up and initialization, m0?m3 are used to select the con guration mode with their val- ues latched on the rising edge of init . during con guration, a pull-up is enabled. i/o after con guration, these pins are user-programmable i/o.* pll_ck[0:7][tc] i semi-dedicated pll clock pins. during con guration they are 3-stated with a pull up. i/o these pins are user-programmable i/o pins if not used by plls after con guration. p[tblr]clk[1:0][t c] i pins dedicated for the primary clock. input pins on the middle of each side with differential pairing. i/o after con guration these pins are user-programmable i/o, if not used for clock inputs. tdi, tck, tms i if boundary-scan is used, these pins are test data in, test clock, and test mode select inputs. if boundary-scan is not selected, all boundary-scan functions are inhibited once con guration is com- plete. even if boundary-scan is not used, either tck or tms must be held at logic 1 during con gu- ration. each pin has a pull-up enabled during con guration. i/o after con guration, these pins are user-programmable i/o in boundary scan is not used.* rdy/b usy /rclk o during con guration in asynchronous peripheral mode, rdy/rclk indicates another byte can be written to the fpga. if a read operation is done when the device is selected, the same status is also available on d7 in asynchronous peripheral mode. during the master parallel con guration mode, rclk is a read output signal to an external memory. this output is not normally used. i/o after con guration this pin is a user-programmable i/o pin.* hdc o high during con guration is output high until con guration is complete. it is used as a control output, indicating that con guration is not complete. i/o after con guration, this pin is a user-programmable i/o pin.* ldc o lo w dur ing con gur ation is output low until con guration is complete. it is used as a control output, indicating that con guration is not complete. i/o after con guration, this pin is a user-programmable i/o pin.* init i/o init is a bidirectional signal before and during con guration. during con guration, a pull-up is enabled, but an external pull-up resistor is recommended. as an active-low open-drain output, init is held low during power stabilization and internal clearing of memory. as an active-low input, init holds the fpga in the wait-state before the start of con guration. after con guration, this pin is a user-programmable i/o pin.* cs0 , cs1 i cs0 and cs1 are used in the asynchronous peripheral, slave parallel, and microprocessor con gu- ration modes. the fpga is selected when cs0 is low and cs1 is high. during con guration, a pull- up is enabled. i/o after con guration, if mpi is not used, these pins are user-programmable i/o pins.* rd /mpi_strb i rd is used in the asynchronous peripheral con guration mode. a low on rd changes d[7:3] into a status output. wr and rd should not be used simultaneously. if they are, the write strobe overrides. this pin is also used as the mpi data transfer strobe. as a status indication, a high indicates ready, and a low indicates busy. wr /mpi_rw i wr is used in asynchronous peripheral mode. a low on wr transfers data on d[7:0] to the fpga. in mpi mode, a high on mpi_rw allows a read from the data bus, while a low causes a write trans- fer to the fpga. i/o after con guration, if the mpi is not used, wr /mpi_rw is a user-programmable i/o pin.* ppc_a[14:31] i during mpi mode the ppc_a[14:31] are used as the address bus driven by the powerpc bus mas- ter utilizing the least-signi cant bits of the powerpc 32-bit address. mpi_b urst i mpi_b urst is driven low to indicate a burst transfer is in progress in mpi mode. driven high indi- cates that the current transfer is not a burst. table 32. fpga common-function pin descriptions (continued) symbol i/o description 1. the fpga states of operation section contains more information on how to control these signals during start-up. the timing of done release is controlled by one set of bit stream options, and the timing of the simultaneous release of all other con guration pins (and the activa- tion of all user i/os) is controlled by a second set of options.
lattice semiconductor orca ort8850 data sheet 79 mpi_bdip i mpi_bdip is driven by the powerpc processor in mpi mode. assertion of this pin indicates that the second beat in front of the current one is requested by the master. negated before the burst transfer ends to abort the burst data phase. mpi_tsz[0:1] i mpi_tsz[0:1] signals are driven by the bus master in mpi mode to indicate the data transfer size for the transaction. set 01 for byte, 10 for half-word, and 00 for word. a[21:0] o during master parallel mode a[21:0] address the con guration eproms up to 4m bytes. i/o if not used for mpi these pins are user-programmable i/o pins after con guration.* mpi_ack o in mpi mode this is driven low indicating the mpi received the data on the write cycle or returned data on a read cycle. i/o if not used for mpi these pins are user-programmable i/o pins after con guration.* mpi_clk i this is the powerpc synchronous, positive-edge bus clock used for the mpi interface. it can be a source of the clock for the embedded system bus. if mpi is used this will be the amba bus clock. i/o if not used for mpi these pins are user-programmable i/o pins after con guration.* mpi_tea o a low on the mpi transfer error acknowledge indicates that the mpi detects a bus error on the inter- nal system bus for the current transaction. i/o if not used for mpi these pins are user-programmable i/o pins after con guration.* mpi_rtry o this pin requests the mpc860 to relinquish the bus and retry the cycle. i/o if not used for mpi these pins are user-programmable i/o pins after con guration.* d[0:31] i/o selectable data bus width from 8, 16, 32-bit in mpi mode. driven by the bus master in a write trans- action and driven by mpi in a read transaction. i d[7:0] receive con guration data during master parallel, peripheral, and slave parallel con guration modes when wr is low and each pin has a pull-up enabled. during serial con guration modes, d0 is the din input. o d[7:3] output internal status for asynchronous peripheral mode when rd is low. i/o after con guration, if mpi is not used, the pins are user-programmable i/o pins.* dp[0:3] i/o selectable parity bus width in mpi mode from 1, 2, 4-bit, dp[0] for d[0:7], dp[1] for d[8:15], dp[2] for d[16:23], and dp[3] for d[24:31]. after con guration, if mpi is not used, the pins are user-programmable i/o pin.* din i during slave serial or master serial con guration modes, din accepts serial con guration data syn- chronous with cclk. during parallel con guration modes, din is the d0 input. during con guration, a pull-up is enabled. i/o after con guration, this pin is a user-programmable i/o pin.* dout o during con guration, dout is the serial data output that can drive the din of daisy-chained slave devices. data out on dout changes on the rising edge of cclk. i/o after con guration, dout is a user-programmable i/o pin.* testcfg i during con guration this pin should be held high, to allow con guration to occur. a pull up is enabled during con guration. i/o after con guration, testcfg is a user programmable i/o pin.* lvds_r ? reference resistor connection for controlled impedance termination of series 4 fpga lvds inputs. table 32. fpga common-function pin descriptions (continued) symbol i/o description 1. the fpga states of operation section contains more information on how to control these signals during start-up. the timing of done release is controlled by one set of bit stream options, and the timing of the simultaneous release of all other con guration pins (and the activa- tion of all user i/os) is controlled by a second set of options.
lattice semiconductor orca ort8850 data sheet 80 this section describes device i/o signals to/from the embedded core. table 33. fpsc embedded core function pin description (xx = aa, ..., bd) symbol i/o description hsi lvds receive pins rxd xx _w_p i positive lvds work link?channel xx rxd xx _w_n i negative lvds work link?channel xx rxd xx _p_p i positive lvds protect link?channel xx rxd xx _p_n i negative lvds protect link?channel xx dautrec i disable auto recovery for the pll. internal pull-down. v dda _stm i analog v dd 1.5 v power supply for the hsi block. v ssa _stm* i analog v ss for the hsi block. hsi lvds transmit pins txd xx _w_p i positive lvds work link?channel xx txd xx _w_n i negative lvds work link?channel xx txd xx _p_p i positive lvds protect link?channel xx txd xx _p_n i negative lvds protect link?channel xx hsi test signals tstclk i test clock for emulation of 622 mhz clock during pll bypass. internal pull- down. mreset i test mode reset. internal pull-down. testrst i resets receiver clock division counter. internal pull-up. resettx i resets transmitter clock division counter. internal pull-up. tstmux[9:0]s o test mode output port. scan_tstmd i test mode enable. must be tie-low for normal operation. scan_en i scan test enable. internal pull-up. tstsuftld i internal pull-down. e_toggle i internal pull-down. elsel i internal pull-down. exdnup i internal pull-down. lvds interface special pins lvctap_w[4:0] ? lvds work input center tap (use 0.01 f to gnd). lvctap_p[4:0] ? lvds protect input center tap (use 0.01 f to gnd). ref10 ? lvds reference voltage: 1.0 v 3%. ref14 ? lvds reference voltage: 1.4 v 3%. reshi ? lvds resistor high pin ( 100 in series with reslo). reslo ? lvds resistor low pin ( 100 in series with reshi). misc system signals rst_n i reset the core only. the fpga logic is not reset by rst_n. internal pull down allows chip to stay in reset state when external driver loses power. sys_clk_p i positive lvds system clock, 50% duty cycle, also the reference clock of pll. sys_clk_n i negative lvds system clock, 50% duty cycle, also the reference clock of pll. lvctap_sk o lvds center-tap for sys_clk (use 0.01 f to gnd).
lattice semiconductor orca ort8850 data sheet 81 package information table 34 summarizes the programmable i/o clock and power pins available to the ort8850 devices. table 34. ort8850 io and power pin summary there are some incompatibilities between the ort8850h and ort8850l due to the fact that the ort8850l is a much smaller array and hence does not provide as many programmable ios (pios). in order to allow pin-for-pin compatible board layouts that can accommodate either device, key compatibility issues include the following: ? unused pins table 35 shows a list of bonded ort8850h pios that are unused in the ort8850l. as shown in the table, there are 19 balls that are not available in the ort8850l, but are available in the ort8850h. these user i/os should not be used if an ort8850l will be used. ? shared control signals on i/o registers. the orca series 4 architecture shares clock and control signals between two adjacent i/o pads. if i/o registers are used, incompatibilities may arise between ort8850l and ort8850h when different clock or control signals are needed on adjacent package pins. this is because one device may allow independent clock or control signals on these adjacent pins, while the other may force them to be the same. there are two ways to avoid this issue. ? always keep an open bonded pin (non-bonded pins for the ort8850l do not count) between pins that require different clock or control signals. note that this open pin can be used to connect signals that do not require the use of i/o registers to meet timing. ? place and route the design in both the ort8850h and ort8850l to verify both produce valid designs. note that this method guarantees the current design, but does not necessarily guard against issues that can occur when design changes are made that affect i/o registers. ? 2x/4x i/o shift registers. if 2x i/o shift registers or 4x i/o shift registers are used in the design, this may cause incompatibilities between the ort880l and ort8850h because only the a and c i/os in a pic sup- port 2x i/o shift registers and only a i/os supports 4x i/o shift register mode. a and c i/os are shown in the following pinout tables under the i/o pad columns as those ending in a or c. ? edge clock input pins. the input buffers for fast edge clocks are only available at the c i/o pad. the c i/os are shown in the following pinout tables under the i/o pad columns as those ending in c. i/o or power type ort8850l ort8850h user i/o single ended 278 297 user i/o differential pairs (lvds, lvpecl) 129 129 con guration 7 7 dedicated function 3 3 vdd15 48 48 vdd33 28 28 vddio 38 38 vss 89 89 single-ended/differential i/o per bank bank 0 64/32 68/32 bank 1 47/20 47/20 bank 2 asic i/o asic i/o bank 3 asic i/o asic i/o bank 4 asic i/o asic i/o bank 5 44/18 44/18 bank 6 76/32 76/32 bank 7 55/27 62/27
lattice semiconductor orca ort8850 data sheet 82 table 35. ort8850h pins that are unused in ort8850l users should avoid using these pins if they plan to migrate their ort8850h design to an ort8850l. package pinouts table 36 provides the package pin and pin function for the ort8850 fpsc and packages. the bond pad name is identi ed in the pio nomenclature used in the isplever design editor. the bank column provides information as to which output voltage level bank the given pin is in. the group column provides information as to the group of pins the given pin is in. this is used to show which vref pin is used to provide the reference voltage for single- ended limited-swing i/os. if none of these buffer types (such as sstl, gtl, hstl) are used in a given group, then the vref pin is available as an i/o pin. when the number of fpga bond pads exceeds the number of package pins, bond pads are unused. when the number of package pins exceeds the number of bond pads, package pins are left unconnected (no connects). when a package pin is to be left as a no connect for a speci c die, it is indicated as a note in the device column for the fpga. the tables provide no information on unused pads. bga ball bonds ort8850h pios k4 pl11a m5 pl13a r5 pl20a t5 pl21a w4 pl27a aa2 pl28a y4 pl29a ac4 pl35a ad5 pl37a ag1 pl38a ap4 pb3a ak10 pb9a ak11 pb10a am9 pb11a an9 pb12a am14 pb19a an14 pb20a d11 pt12a e13 pt11a
lattice semiconductor orca ort8850 data sheet 83 table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair a1 ? ? v ss v ss v ss ? ? e4 ? ? v dd 33 v dd 33 v dd 33 ? ? f5 ? ? o prd_data prd_data rd_data/tdo ? d2 ? ? i preset_n preset_n reset_n ? e3 ? ? i prd_cfg_n prd_cfg_n rd_cfg_n ? g5 ? ? i pprgrm_n pprgrm_n prgrm_n ? c4 0 (tl) ? v dd io0 v dd io0 v dd io0 ? ? f4 0 (tl) 7 io pl2d pl2d pll_ck0c/hppll l21c_d2 d1 0 (tl) 7 io pl2c pl2c pll_ck0t/hppll l21t_d2 a2 ? ? v ss v ss v ss ? ? e2 0 (tl) 7 io pl2b pl3d ? l22c_d0 f3 0 (tl) 7 io pl2a pl3c vref_0_07 l22t_d0 g4 0 (tl) 7 io pl3d pl4d d5 l23c_d0 h5 0 (tl) 7 io pl3c pl4c d6 l23t_d0 d3 0 (tl) ? v dd io0 v dd io0 v dd io0 ? ? e1 0 (tl) 8 io pl3b pl5d ? l24c_d0 f2 0 (tl) 8 io pl3a pl5c vref_0_08 l24t_d0 j5 0 (tl) 8 io pl4d pl6d hdc l25c_d1 g3 0 (tl) 8 io pl4c pl6c ldc_n l25t_d1 a18 ? ? v ss v ss v ss ? ? h4 0 (tl) 8 io pl4b pl7d ? l26c_d2 f1 0 (tl) 8 io pl4a pl7c ? l26t_d2 g2 0 (tl) 9 io pl5d pl8d testcfg l27c_d0 h3 0 (tl) 9 io pl5c pl8c d7 l27t_d0 e5 0 (tl) ? v dd io0 v dd io0 v dd io0 ? ? k5 0 (tl) 9 io pl5b pl9d vref_0_09 l28c_d0 j4 0 (tl) 9 io pl5a pl9c a17/ppc_a31 l28t_d0 g1 0 (tl) 9 io pl6d pl10d cs0_n l29c_d3 l5 0 (tl) 9 io pl6c pl10c cs1 l29t_d3 a33 ? ? v ss v ss v ss ? ? h2 0 (tl) 10 io pl6b pl11d ? l30c_d0 j3 0 (tl) 10 io pl6a pl11c ? l30t_d0 h1 0 (tl) 10 io pl7d pl12d init_n l31c_d0 j2 0 (tl) 10 io pl7c pl12c dout l31t_d0 k3 0 (tl) 10 io pl7b pl13d vref_0_10 l32c_d0 l4 0 (tl) 10 io pl7a pl13c a16/ppc_a30 l32t_d0 j1 7 (cl) 1 io pl8d pl14d a15/ppc_a29 l1c_d0 k2 7 (cl) 1 io pl8c pl14c a14/ppc_a28 l1t_d0 l1 7 (cl) ? v dd io7 v dd io7 v dd io7 ? ? m4 7 (cl) 1 io pl8b pl15d ? l2c_d0 l3 7 (cl) 1 io pl8a pl15c ? l2t_d0 k1 7 (cl) 1 io pl9d pl16d vref_7_01 l3c_d3
lattice semiconductor orca ort8850 data sheet 84 n5 7 (cl) 1 io pl9c pl16c d4 l3t_d3 am22 ? ? v ss v ss v ss ? ? l2 7 (cl) 2 io pl9b pl17d ? l4c_d1 n4 7 (cl) 2 io pl9a pl17c ? l4t_d1 p5 7 (cl) 2 io pl10d pl18d rdy/busy_n/rclk l5c_d2 m2 7 (cl) 2 io pl10c pl18c vref_7_02 l5t_d2 m3 7 (cl) ? v dd io7 v dd io7 v dd io7 ? ? m1 7 (cl) 2 io pl10b pl19d a13/ppc_a27 l6c_d2 p4 7 (cl) 2 io pl10a pl19c a12/ppc_a26 l6t_d2 n2 7 (cl) 3 io pl11d pl20d ? l7c_d0 p3 7 (cl) 3 io pl11c pl20c ? l7t_d0 am32 ? ? v ss v ss v ss ? ? r4 7 (cl) 3 io pl11b pl21d a11/ppc_a25 l8c_d2 n1 7 (cl) 3 io pl11a pl21c vref_7_03 l8t_d2 p2 7 (cl) 3 io pl12d pl22d ? l9c_a0 p1 7 (cl) 3 io pl12c pl22c ? l9t_a0 t4 7 (cl) 3 io pl12b pl22b ? l10c_d1 r2 7 (cl) 3 io pl12a pl22a ? l10t_d1 u5 7 (cl) 4 io pl13d pl23d rd_n/mpi_strb_n l11c_d3 r1 7 (cl) 4 io pl13c pl23c vref_7_04 l11t_d3 an1 ? ? v ss v ss v ss ? ? v5 7 (cl) 4 io pl13b pl23b ? l12c_d1 t3 7 (cl) 4 io pl13a pl23a ? l12t_d1 t2 7 (cl) 4 io pl14d pl24d plck0c l13c_a0 t1 7 (cl) 4 io pl14c pl24c plck0t l13t_a0 r3 7 (cl) ? v dd io7 v dd io7 v dd io7 ? ? u4 7 (cl) 4 io pl14b pl24b ? l14c_a0 u3 7 (cl) 4 io pl14a pl24a ? l14t_a0 an2 ? ? v ss v ss v ss ? ? u2 7 (cl) 5 io pl15d pl25d a10/ppc_a24 l15c_a0 v2 7 (cl) 5 io pl15c pl25c a9/ppc_a23 l15t_a0 an33 ? ? v ss v ss v ss ? ? v3 7 (cl) 5 io pl15b pl25b ? l16c_a0 v4 7 (cl) 5 io pl15a pl25a ? l16t_a0 w5 7 (cl) 5 io pl16d pl26d a8/ppc_a22 l17c_a2 w2 7 (cl) 5 io pl16c pl26c vref_7_05 l17t_a2 w3 7 (cl) 5 io pl16b pl27d ? l18c_d1 y1 7 (cl) 5 io pl16a pl27c ? l18t_d1 y2 7 (cl) 6 io pl17d pl28d plck1c l19c_d0 aa1 7 (cl) 6 io pl17c pl28c plck1t l19t_d0 an34 ? ? v ss v ss v ss ? ? y5 7 (cl) 6 io pl17b pl29d vref_7_06 l20c_d3 table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 85 ab1 7 (cl) 6 io pl17a pl29c a7/ppc_a21 l20t_d3 aa5 7 (cl) 6 io pl18d pl30d a6/ppc_a20 l21c_a1 aa3 7 (cl) 6 io pl18c pl30c a5/ppc_a19 l21t_a1 u1 7 (cl) ? v dd io7 v dd io7 v dd io7 ? ? ab2 7 (cl) 7 io pl18b pl31d ? ? aa4 7 (cl) 7 io pl19d pl32d wr_n/mpi_rw l22c_d2 ac1 7 (cl) 7 io pl19c pl32c vref_7_07 l22t_d2 ab5 7 (cl) 7 io pl19b pl33d ? l23c_d2 ac2 7 (cl) 7 io pl19a pl33c ? l23t_d2 ab4 7 (cl) 8 io pl20d pl34d a4/ppc_a18 l23c_d0 ac5 7 (cl) 8 io pl20c pl34c vref_7_08 l23t_d0 w1 7 (cl) ? v dd io7 v dd io7 v dd io7 ? ? ad2 7 (cl) 8 io pl20b pl35d a3/ppc_a17 l23c_d0 ae1 7 (cl) 8 io pl20a pl35c a2/ppc_a16 l23t_d0 ad3 7 (cl) 8 io pl21d pl36d a1/ppc_a15 l24c_d0 ae2 7 (cl) 8 io pl21c pl36c a0/ppc_a14 l24t_d0 af1 7 (cl) 8 io pl21b pl37d dp0 l25c_d2 ad4 7 (cl) 8 io pl21a pl37c dp1 l25t_d2 ae3 6 (bl) 1 io pl22d pl38d d8 l1c_d0 af2 6 (bl) 1 io pl22c pl38c vref_6_01 l1t_d0 ab13 ? ? v ss v ss v ss ? ? ae4 6 (bl) 1 io pl22b pl39d d9 l2c_d0 af3 6 (bl) 1 io pl22a pl39c d10 l2t_d0 ae5 6 (bl) 2 io pl23d pl40d ? l3c_d1 ag2 6 (bl) 2 io pl23c pl40c vref_6_02 l3t_d1 ak5 6 (bl) ? v dd io6 v dd io6 v dd io6 ? ? ah1 6 (bl) 2 io pl23b pl41d ? l4c_d3 af5 6 (bl) 2 io pl23a pl41c ? l4t_d3 af4 6 (bl) 3 io pl24d pl42d d11 l5c_d0 ag3 6 (bl) 3 io pl24c pl42c d12 l5t_d0 ab14 ? ? v ss v ss v ss ? ? ah2 6 (bl) 3 io pl24b pl43d ? l6c_d0 aj1 6 (bl) 3 io pl24a pl43c ? l6t_d0 ag4 6 (bl) 3 io pl25d pl44d vref_6_03 l7c_a0 ag5 6 (bl) 3 io pl25c pl44c d13 l7t_a0 al3 6 (bl) ? v dd io6 v dd io6 v dd io6 ? ? ah3 6 (bl) 4 io pl25b pl44b ? ? ak1 6 (bl) 4 io pl25a pl45a ? ? aj2 6 (bl) 4 io pl26d pl45d ? l8c_d2 ah5 6 (bl) 4 io pl26c pl45c vref_6_04 l8t_d2 ab15 ? ? v ss v ss v ss ? ? ah4 6 (bl) 4 io pl26b pl46d ? ? table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 86 aj3 6 (bl) 4 io pl26a pl46a ? ? ak2 6 (bl) 4 io pl27d pl47d pll_ck7c/hppll l9c_d0 al1 6 (bl) 4 io pl27c pl47c pll_ck7t/hppll l9t_d0 ab20 ? ? v ss v ss v ss ? ? aj5 6 (bl) 4 io pl27b pl47b ? l10c_a0 aj4 6 (bl) 4 io pl27a pl47a ? l10t_a0 ab21 ? ? v ss v ss v ss ? ? ak3 ? ? i ptemp ptemp ptemp ? am1 6 (bl) ? v dd io6 v dd io6 v dd io6 ? ? al2 ? ? io lvds_r lvds_r lvds_r ? ak4 ? ? v dd 33 v dd 33 v dd 33 ? ? ab22 ? ? v ss v ss v ss ? ? ak6 ? ? v dd 33 v dd 33 v dd 33 ? ? al5 6 (bl) 5 io pb2a pb2a dp2 l11t_d1 an4 6 (bl) 5 io pb2b pb2b ? l11c_d1 am2 6 (bl) ? v dd io6 v dd io6 v dd io6 ? ? am5 6 (bl) 5 io pb2c pb2c pll_ck6t/ppll l12t_d1 ak7 6 (bl) 5 io pb2d pb2d pll_ck6c/ppll l12c_d1 al6 6 (bl) 5 io pb3a pb3c ? l13t_d1 an5 6 (bl) 5 io pb3b pb3d ? l13c_d1 am4 6 (bl) ? v dd io6 v dd io6 v dd io6 ? ? am6 6 (bl) 5 io pb3c pb4c vref_6_05 l14t_d0 al7 6 (bl) 5 io pb3d pb4d dp3 l14c_d0 ak8 6 (bl) 6 io pb4a pb5c ? l15t_d3 ap5 6 (bl) 6 io pb4b pb5d ? l15c_d3 ab32 ? ? v ss v ss v ss ? ? ak9 6 (bl) 6 io pb4c pb6c vref_6_06 l16t_d2 an6 6 (bl) 6 io pb4d pb6d d14 l16c_d2 am7 6 (bl) 6 io pb5a pb7c ? l17t_d1 ap6 6 (bl) 6 io pb5b pb7d ? l17c_d1 an3 6 (bl) ? v dd io6 v dd io6 v dd io6 ? ? al8 6 (bl) 7 io pb5c pb8c d15 l18t_d1 an7 6 (bl) 7 io pb5d pb8d d16 l18c_d1 am8 6 (bl) 7 io pb6a pb9c d17 l19t_d0 al9 6 (bl) 7 io pb6b pb9d d18 l19c_d0 al4 ? ? v ss v ss v ss ? ? ap7 6 (bl) 7 io pb6c pb10c vref_6_07 l20t_d0 an8 6 (bl) 7 io pb6d pb10d d19 l20c_d0 al10 6 (bl) 8 io pb7a pb11c d20 l21t_d2 ap8 6 (bl) 8 io pb7b pb11d d21 l21c_d2 al11 6 (bl) 8 io pb7c pb12c vref_6_08 l22t_d0 am10 6 (bl) 8 io pb7d pb12d d22 l22c_d0 table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 87 ak12 6 (bl) 9 io pb8a pb13a ? l23t_d3 ap9 6 (bl) 9 io pb8b pb13b ? l23c_d3 al31 ? ? v ss v ss v ss ? ? an10 6 (bl) 9 io pb8c pb13c d23 l24t_d1 al12 6 (bl) 9 io pb8d pb13d d24 l24c_d1 am11 6 (bl) 9 io pb9a pb14a ? l25t_d1 ap10 6 (bl) 9 io pb9b pb14b ? l25c_d1 ap3 6 (bl) ? v dd io6 v dd io6 v dd io6 ? ? ak13 6 (bl) 9 io pb9c pb14c vref_6_09 l26t_d2 an11 6 (bl) 9 io pb9d pb14d d25 l26c_d2 al13 6 (bl) 9 io pb10a pb15c ? l27t_d0 ak14 6 (bl) 9 io pb10b pb15d ? l27c_d0 am3 ? ? v ss v ss v ss ? ? an12 6 (bl) 10 io pb10c pb16c d26 l28t_d1 al14 6 (bl) 10 io pb10d pb16d d27 l28c_d1 ap12 6 (bl) 10 io pb11a pb17c ? l29t_d0 an13 6 (bl) 10 io pb11b pb17d ? l29c_d0 ap13 6 (bl) 10 io pb11c pb18c vref_6_10 l30t_d3 ak15 6 (bl) 10 io pb11d pb18d d28 l30c_d3 al15 6 (bl) 11 io pb12a pb19c d29 l31t_d0 ak16 6 (bl) 11 io pb12b pb19d d30 l31c_d0 am13 ? ? v ss v ss v ss ? ? ap14 6 (bl) 11 io pb12c pb20c vref_6_11 l32t_d2 al16 6 (bl) 11 io pb12d pb20d d31 l32c_d2 an15 5 (bc) 1 io pb13c pb21a ? ? ap15 5 (bc) 1 io pb14a pb21c ? l1t_d3 ak17 5 (bc) 1 io pb14b pb21d ? l1c_d3 y15 ? ? v ss v ss v ss ? ? am16 5 (bc) 1 io pb14c pb22a ? ? an16 5 (bc) 1 io pb15a pb22c vref_5_01 l2t_d1 al17 5 (bc) 1 io pb15b pb22d ? l2c_d1 am12 5 (bc) ? v dd io5 v dd io5 v dd io5 ? ? ap16 5 (bc) 2 io pb15c pb23a ? l3t_d1 am17 5 (bc) 2 io pb15d pb23b ? l3c_d1 an17 5 (bc) 2 io pb16a pb23c pbck0t l4t_d1 al18 5 (bc) 2 io pb16b pb23d pbck0c l4c_d1 an18 5 (bc) 2 io pb16c pb24a ? l5t_a0 am18 5 (bc) 2 io pb16d pb24b ? l5c_a0 an19 5 (bc) 2 io pb17a pb24c vref_5_02 l6t_d2 ak18 5 (bc) 2 io pb17b pb24d ? l6c_d2 y20 ? ? v ss v ss v ss ? ? am19 5 (bc) 2 io pb17c pb25c ? l7t_a0 table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 88 al19 5 (bc) 2 io pb17d pb25d ? l7c_a0 ap20 5 (bc) 3 io pb18a pb26c ? l8t_d3 ak19 5 (bc) 3 io pb18b pb26d vref_5_03 l8c_d3 am15 5 (bc) ? v dd io5 v dd io5 v dd io5 ? ? an20 5 (bc) 3 io pb18c pb27a ? ? y21 ? ? v ss v ss v ss ? ? ap21 5 (bc) 3 io pb19a pb27c ? l9t_d2 al20 5 (bc) 3 io pb19b pb27d ? l9c_d2 y22 ? ? v ss v ss v ss ? ? ak20 5 (bc) 3 io pb19c pb28a ? ? an21 5 (bc) 3 io pb20a pb28c pbck1t l10t_a0 am21 5 (bc) 3 io pb20b pb28d pbck1c l10c_a0 am20 5 (bc) ? v dd io5 v dd io5 v dd io5 ? ? ak21 5 (bc) 3 io pb20c pb29a ? ? ap22 5 (bc) 4 io pb21a pb29c ? l11t_d2 al21 5 (bc) 4 io pb21b pb29d ? l11c_d2 aa15 ? ? v ss v ss v ss ? ? an22 5 (bc) 4 io pb21c pb30a ? ? ap23 5 (bc) 4 io pb22a pb30c ? l12t_a0 an23 5 (bc) 4 io pb22b pb30d vref_5_04 l12c_a0 aa13 ? ? v ss v ss v ss ? ? ak22 5 (bc) 4 io pb22c pb31c ? l13t_a0 al22 5 (bc) 4 io pb22d pb31d ? l13c_a0 an24 5 (bc) 5 io pb23c pb32c ? l14t_d2 ak23 5 (bc) 5 io pb23d pb32d vref_5_05 l14c_d2 aa14 ? ? v ss v ss v ss ? ? al23 5 (bc) 5 io pb24c pb33c ? l15t_d0 am24 5 (bc) 5 io pb24d pb33d ? l15c_d0 ap25 5 (bc) 5 io pb25a pb34c ? l16t_a0 an25 5 (bc) 5 io pb25b pb34d ? l16t_a0 ap26 5 (bc) 6 io pb25c pb35a ? ? ak25 5 (bc) 6 io pb26a pb35c ? l17t_a0 an26 5 (bc) 6 io pb26b pb35d vref_5_06 l17c_a0 ap27 5 (bc) 6 io pb26c pb36a ? ? am25 5 (bc) 6 io pb27a pb36c ? l18t_d3 ak26 5 (bc) 6 io pb27b pb36d ? l18c_d3 n32 ? ? v ss v ss v ss ? ? al24 ? ? o txdaa_p_n txdaa_p_n ? l1n_a0 ak24 ? ? o txdaa_p_p txdaa_p_p ? l1p_a0 a32 ? ? v dd 33 v dd 33 v dd 33 ? ? an27 ? ? o txdab_p_n txdab_p_n ? l2n_d0 ap28 ? ? o txdab_p_p txdab_p_p ? l2p_d0 table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 89 p13 ? ? v ss v ss v ss ? ? al25 ? ? o txdac_p_n txdac_p_n ? l3n_a0 al26 ? ? o txdac_p_p txdac_p_p ? l3p_a0 b32 ? ? v dd 33 v dd 33 v dd 33 ? ? am26 ? ? o txdad_p_n txdad_p_n ? l4n_a0 am27 ? ? o txdad_p_p txdad_p_p ? l4p_a0 p14 ? ? v ss v ss v ss ? ? an28 ? ? o reserved reserved ? l5n_d0 ap29 ? ? o reserved reserved ? l5p_d0 c31 ? ? v dd 33 v dd 33 v dd 33 ? ? al27 ? ? o txclk_p_n txclk_p_n ? l6n_a0 ak27 ? ? o txclk_p_p txclk_p_p ? l6p_a0 p15 ? ? v ss v ss v ss ? ? al28 ? ? o txdba_p_n txdba_p_n ? l7n_a0 ak28 ? ? o txdba_p_p txdba_p_p ? l7p_a0 c33 ? ? v dd 33 v dd 33 v dd 33 ? ? am28 ? ? o txdbb_p_n txdbb_p_n ? l8n_d0 an29 ? ? o txdbb_p_p txdbb_p_p ? l8p_d0 p20 ? ? v ss v ss v ss ? ? al29 ? ? o txdbc_p_n txdbc_p_n ? l9n_a0 ak29 ? ? o txdbc_p_p txdbc_p_p ? l9p_a0 c34 ? ? v dd 33 v dd 33 v dd 33 ? ? ap30 ? ? o txdbd_p_n txdbd_p7_n ? l10n_d0 an30 ? ? o txdbd_p_p txdbd_p_p ? l10p_d0 p21 ? ? v ss v ss v ss ? ? am29 ? ? i dautrec dautrec ? ? ap31 ? ? i tstclk tstclk ? ? d32 ? ? v dd 33 v dd 33 v dd 33 ? ? am30 ? ? i testrst testrst ? ? an31 ? ? i tstshftld tstshftld ? ? p22 ? ? v ss v ss v ss ? ? r13 ? ? v ss v ss v ss ? ? r14 ? ? v ss v ss v ss ? ? e30 ? ? v dd 33 v dd 33 v dd 33 ? ? al30 ? ? i resettx resettx ? ? e31 ? ? v dd 33 v dd 33 v dd 33 ? ? ah30 ? ? i etoggle etoggle ? ? aj30 ? ? i elsel elsel ? ? r15 ? ? v ss v ss v ss ? ? al33 ? ? i exdnup exdnup ? ? ah31 ? ? i mreset mreset ? ? l34 ? ? v dd 33 v dd 33 v dd 33 ? ? table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 90 ak32 ? ? i rxdaa_p_n rxdaa_p_n ? l11n_d0 aj31 ? ? i rxdaa_p_p rxdaa_p_p ? l11p_d0 r20 ? ? v ss v ss v ss ? ? al34 ? ? i rxdab_p_n rxdab_p_n ? l12n_d0 ak33 ? ? i rxdab_p_p rxdab_p_p ? l12p_d0 aj32 ? ? i lvctap_p_0 lvctap_p_0 ? ? m32 ? ? v dd 33 v dd 33 v dd 33 ? ? af30 ? ? i rxdac_p_n rxdac_p_n ? l13n_a0 ag30 ? ? i rxdac_p_p rxdac_p_p ? l13p_a0 r21 ? ? v ss v ss v ss ? ? ag31 ? ? i rxdad_p_p rxdad_p_n ? l14n_a0 af31 ? ? i rxdad_p_p rxdad_p_p ? l14p_a0 ak34 ? ? i lvctap_p_1 lvctap_p_1 ? ? r32 ? ? v dd 33 v dd 33 v dd 33 ? ? aj33 ? ? i reserved reserved ? l15n_a0 ah32 ? ? i reserved reserved ? l15p_a0 r22 ? ? v ss v ss v ss ? ? aj34 ? ? i reserved reserved ? l16n_d0 ah33 ? ? i reserved reserved ? l16p_d0 ad30 ? ? i lvctap_p_2 lvctap_p_2 ? ? u34 ? ? v dd 33 v dd 33 v dd 33 ? ? ag32 ? ? i rxdba_p_n rxdba_p_n ? l17n_a0 ag33 ? ? i rxdba_p_p rxdba_p_p ? l17p_a0 t16 ? ? v ss v ss v ss ? ? ah34 ? ? i lvctap_p_3 lvctap_p_3 ? ? ae30 ? ? i rxdbb_p_n rxdbb_p_n ? l18n_a0 ae31 ? ? i rxdbb_p_p rxdbb_p_p ? l18p_a0 w34 ? ? v dd 33 v dd 33 v dd 33 ? ? af32 ? ? i rxdbc_p_n rxdbc_p_n ? l19n_a0 af33 ? ? i rxdbc_p_p rxdbc_p_p ? l19p_a0 t17 ? ? v ss v ss v ss ? ? ac30 ? ? i lvctap_p_4 lvctap_p_4 ? ? ag34 ? ? i rxdbd_p_n rxdbd_p_n ? l20n_a0 af34 ? ? i rxdbd_p_p rxdbd_p_p ? l20p_a0 y32 ? ? v dd 33 v dd 33 v dd 33 ? ? ab30 ? ? v dd a_stm v dd a_stm v dd a_stm ? ? ad31 ? ? v ss a_stm v ss a_stm v ss a_stm ? ? t18 ? ? v ss v ss v ss ? ? ae32 ? ? i sys_clk_n sys_clk_n ? l21n_d0 ae33 ? ? i sys_clk_p sys_clk_p ? l21p_d0 ac32 ? ? v dd 33 v dd 33 v dd 33 ? ? ae34 ? ? o lvctap_sk lvctap_sk ? ? table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 91 t19 ? ? v ss v ss v ss ? ? ac31 ? ? i rxdaa_w_n rxdaa_w_n ? l22n_a0 ab31 ? ? i rxdaa_w_p rxdaa_w_p ? l22p_a0 t34 ? ? v ss v ss v ss ? ? ad32 ? ? i rxdab_w_n rxdab_w_n ? l23n_a0 ad33 ? ? i rxdab_w_p rxdab_w_p ? l23p_a0 aa30 ? ? i lvctap_w_0 lvctap_w_0 ? ? ad34 ? ? v dd 33 v dd 33 v dd 33 ? ? ac33 ? ? i rxdac_w_n rxdac_w_n ? l24n_a0 ac34 ? ? i rxdac_w_p rxdac_w_p ? l24p_a0 u16 ? ? v ss v ss v ss ? ? ab33 ? ? i rxdad_w_n rxdad_w_n ? l25n_a0 ab34 ? ? i rxdad_w_p rxdad_w_p ? l25p_a0 y30 ? ? i lvctap_w_1 lvctap_w_1 ? ? ak30 ? ? v dd 33 v dd 33 v dd 33 ? ? aa31 ? ? i reserved reserved ? l26n_a0 aa32 ? ? i reserved reserved ? l26p_a0 u17 ? ? v ss v ss v ss ? ? w30 ? ? i reserved reserved ? l27n_d0 y31 ? ? i reserved reserved ? l27p_d0 aa33 ? ? i lvctap_w_2 lvctap_w_2 ? ? ak31 ? ? v dd 33 v dd 33 v dd 33 ? ? aa34 ? ? i rxdba_w_n rxdba_w_n ? l28n_a0 y34 ? ? i rxdba_w_p rxdba_w_p ? l28p_a0 u18 ? ? v ss v ss v ss ? ? y33 ? ? i lvctap_w_3 lvctap_w_3 ? ? w31 ? ? i rxdbb_w_n rxdbb_w_n ? l29n_a0 w32 ? ? i rxdbb_w_p rxdbb_w_p ? l29p_a0 al32 ? ? v dd 33 v dd 33 v dd 33 ? ? v30 ? ? i rxdbc_w_n rxdbc_w_n ? l30n_a0 v31 ? ? i rxdbc_w_p rxdbc_w_p ? l30p_a0 u19 ? ? v ss v ss v ss ? ? w33 ? ? i lvctap_w_4 lvctap_w_4 ? ? v32 ? ? i rxdbd_w_n rxdbd_w_n ? l31n_a0 v33 ? ? i rxdbd_w_p rxdbd_w_p ? l31p_a0 v1 ? ? v ss v ss v ss ? ? u33 ? ? i reslo reslo ? ? u32 ? ? i reshi reshi ? ? u31 ? ? i ref14 ref14 ? ? t33 ? ? i ref10 ref10 ? ? am31 ? ? v dd 33 v dd 33 v dd 33 ? ? v16 ? ? v ss v ss v ss ? ? table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 92 t32 ? ? o txdaa_w_n txdaa_w_n ? l32n_d1 r34 ? ? o txdaa_w_p txdaa_w_p ? l32p_d1 am33 ? ? v dd 33 v dd 33 v dd 33 ? ? u30 ? ? o txdab_w_n txdab_w_n ? l33n_d0 t31 ? ? o txdab_w_p txdab_w_p ? l33p_d0 v17 ? ? v ss v ss v ss ? ? r33 ? ? o txdac_w_n txdac_w_n ? l34n_d0 p34 ? ? o txdac_w_p txdac_w_p ? l34p_d0 am34 ? ? v dd 33 v dd 33 v dd 33 ? ? p33 ? ? o txdad_w_n txdad_w_n ? l35n_d0 n34 ? ? o txdad_w_p txdad_w_p ? l35p_d0 v18 ? ? v ss v ss v ss ? ? t30 ? ? o reserved reserved ? l36n_d0 r31 ? ? o reserved reserved ? l36p_d0 an32 ? ? v dd 33 v dd 33 v dd 33 ? ? p32 ? ? o reserved reserved ? l37n_d1 r30 ? ? o reserved reserved ? l37p_d1 v19 ? ? v ss v ss v ss ? ? n33 ? ? o txdba_w_n txdba_w_n ? l38n_d0 m34 ? ? o txdba_w_p txdba_w_p ? l38p_d0 ap32 ? ? v dd 33 v dd 33 v dd 33 ? ? p31 ? ? o txdbb_w_n txdbb_w_n ? l39n_d1 m33 ? ? o txdbb_w_p txdbb_w_p ? l39p_d1 v34 ? ? v ss v ss v ss ? ? n31 ? ? o txdbc_w_n txdbc_w_n ? l40n_d0 p30 ? ? o txdbc_w_p txdbc_w_p ? l40p_d0 l33 ? ? o txdbd_w_n txdbd_w_n ? l41n_d0 k34 ? ? o txdbd_w_p txdbd_w_p ? l41p_d0 w16 ? ? v ss v ss v ss ? ? m31 ? ? i reserved reserved ? l42n_d0 l32 ? ? i reserved reserved ? l42p_d0 k33 ? ? i reserved reserved ? ? w17 ? ? v ss v ss v ss ? ? n30 ? ? v dd a_pdi reserved reserved ? ? l30 ? ? v ss a_pdi reserved reserved ? ? w18 ? ? v ss v ss v ss ? ? m30 ? ? i reserved reserved ? l43n_d0 l31 ? ? i reserved reserved ? l43p_d0 w19 ? ? v ss v ss v ss ? ? j34 ? ? i reserved reserved ? l44n_d1 k32 ? ? i reserved reserved ? l44p_d1 j33 ? ? i reserved reserved ? ? table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 93 h34 ? ? i reserved reserved ? l45n_d1 j32 ? ? i reserved reserved ? l45p_d1 y13 ? ? v ss v ss v ss ? ? k31 ? ? i reserved reserved ? l46n_a0 k30 ? ? i reserved reserved ? l46p_a0 h33 ? ? i reserved reserved ? ? j31 ? ? i reserved reserved ? l47n_a0 j30 ? ? i reserved reserved ? l47p_a0 y14 ? ? v ss v ss v ss ? ? g34 ? ? i reserved reserved ? l48n_d1 h32 ? ? i reserved reserved ? l48p_d1 h31 ? ? i reserved reserved ? ? g33 ? ? i reserved reserved ? l49n_d0 f34 ? ? i reserved reserved ? l49p_d0 h30 ? ? i reserved reserved ? ? g32 ? ? i reserved reserved ? l50n_d0 f33 ? ? i reserved reserved ? l50p_d0 g30 ? ? i reserved reserved ? l51n_a0 g31 ? ? i reserved reserved ? l51p_a0 e34 ? ? i reserved reserved ? ? f32 ? ? i reserved reserved ? l52n_a0 e33 ? ? i reserved reserved ? l52p_a0 f31 ? ? o tstmux0s tstmux0s ? ? e32 ? ? o tstmux1s tstmux1s ? ? d34 ? ? o tstmux2s tstmux2s ? ? d33 ? ? o tstmux3s tstmux3s ? ? f30 ? ? o tstmux4s tstmux4s ? ? d30 ? ? o tstmux5s tstmux5s ? ? e29 ? ? o tstmux6s tstmux6s ? ? c30 ? ? o tstmux7s tstmux7s ? ? b31 ? ? o tstmux8s tstmux8s ? ? d29 ? ? o tstmux9s tstmux9s ? ? b30 ? ? i scanen scanen ? ? a31 ? ? i scan_tstm d scan_tstm d ? ? b29 ? ? i rst_n rst_n ? ? e28 ? ? o reserved reserved ? l53n_d1 c29 ? ? o reserved reserved ? l53p_d1 d28 ? ? o reserved reserved ? l54n_d0 e27 ? ? o reserved reserved ? l54p_d0 a30 ? ? o reserved reserved ? l55n_d1 c28 ? ? o reserved reserved ? l55p_d1 b28 ? ? o reserved reserved ? l56n_d0 table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 94 a29 ? ? o reserved reserved ? l56p_d0 d27 ? ? o reserved reserved ? l57n_d0 e26 ? ? o reserved reserved ? l57p_d0 c27 ? ? o reserved reserved ? l58n_d0 d26 ? ? o reserved reserved ? l58p_d0 a28 ? ? o reserved reserved ? l59n_d0 b27 ? ? o reserved reserved ? l59p_d0 c26 ? ? o reserved reserved ? l60n_d0 d25 ? ? o reserved reserved ? l60p_d0 a27 ? ? o reserved reserved ? l61n_d0 b26 ? ? o reserved reserved ? l61p_d0 d24 ? ? o reserved reserved ? l62n_d0 c25 ? ? o reserved reserved ? l62p_d0 c22 ? ? v ss v ss v ss ? ? a26 1 (tc) 1 io pt26d pt35d ? l1c_d3 e25 1 (tc) 1 io pt26c pt35c ? l1t_d3 a25 1 (tc) 1 io pt26b pt35b ? l2c_a0 b25 1 (tc) 1 io pt26a pt35a ? l2t_a0 c24 1 (tc) 1 io pt25d pt34d vref_1_01 l3c_d0 d23 1 (tc) 1 io pt25c pt34c ? l3t_d0 c32 ? ? v ss v ss v ss ? ? b24 1 (tc) 1 io pt25b pt33d ? l4c_a2 e24 1 (tc) 1 io pt25a pt33c ? l4t_a2 d22 1 (tc) 2 io pt24d pt32d ? l5c_d1 b23 1 (tc) 2 io pt24c pt32c vref_1_02 l5t_d1 e23 1 (tc) 2 io pt24b pt31d ? l6c_a3 a23 1 (tc) 2 io pt24a pt31c ? l6t_a3 d21 1 (tc) 2 io pt23d pt30d ? l7c_d1 b22 1 (tc) 2 io pt23c pt30c ? l7t_d1 d4 ? ? v ss v ss v ss ? ? a22 1 (tc) 3 io pt22d pt29d ? l8c_d1 c21 1 (tc) 3 io pt22c pt29c vref_1_03 l8t_d1 e22 1 (tc) 3 io pt22a pt29a ? ? d20 1 (tc) 3 io pt21d pt28d ? l9c_d1 b21 1 (tc) 3 io pt21c pt28c ? l9t_d1 d31 ? ? v ss v ss v ss ? ? e21 1 (tc) 3 io pt21a pt28a ? ? a21 1 (tc) 3 io pt20d pt27d ? l10c_d0 b20 1 (tc) 3 io pt20c pt27c ? l10t_d0 a11 1 (tc) ? v dd io1 v dd io1 v dd io1 ? ? a20 1 (tc) 3 io pt20a pt27a ? ? e20 1 (tc) 4 io pt19d pt26d ? l11c_d0 table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 95 d19 1 (tc) 4 io pt19c pt26c ? l11t_d0 c19 1 (tc) 4 io pt19b pt25d ? l12c_a0 b19 1 (tc) 4 io pt19a pt25c ? l12t_a0 n3 ? ? v ss v ss v ss ? ? e19 1 (tc) 4 io pt18d pt24d ? l13c_d0 d18 1 (tc) 4 io pt18c pt24c vref_1_04 l13t_d0 a17 1 (tc) ? v dd io1 v dd io1 v dd io1 ? ? b18 1 (tc) 4 io pt18b pt24b ? l14c_a0 c18 1 (tc) 4 io pt18a pt24a ? l14t_a0 b17 1 (tc) 5 io pt17d pt23d ptck1c l15c_a0 c17 1 (tc) 5 io pt17c pt23c ptck1t l15t_a0 n13 ? ? v ss v ss v ss ? ? a16 1 (tc) 5 io pt17b pt23b ? l16c_d2 d17 1 (tc) 5 io pt17a pt23a ? l16t_d2 b16 1 (tc) 5 io pt16d pt22d ptck0c l17c_a0 c16 1 (tc) 5 io pt16c pt22c ptck0t l17t_a0 d16 1 (tc) 5 io pt16a pt22a ? ? e18 1 (tc) 5 io pt15d pt21d vref_1_05 l18c_d3 a15 1 (tc) 5 io pt15c pt21c ? l18t_d3 a19 1 (tc) ? v dd io1 v dd io1 v dd io1 ? ? b15 1 (tc) 5 io pt15a pt21a ? ? d15 1 (tc) 6 io pt14d pt20d ? l19c_d2 a14 1 (tc) 6 io pt14c pt20c ? l19t_d2 n14 ? ? v ss v ss v ss ? ? b14 1 (tc) 6 io pt14a pt20a ? ? e17 1 (tc) 6 io pt13d pt19d ? l20c_d2 c14 1 (tc) 6 io pt13c pt19c vref_1_06 l20t_d2 d14 1 (tc) 6 io pt13a pt19a ? ? n15 ? ? v ss v ss v ss ? ? e16 0 (tl) 1 io pt11d pt18d mpi_rtry_n l1c_d3 a13 0 (tl) 1 io pt11c pt18c mpi_ack_n l1t_d3 b13 0 (tl) 1 io pt11b pt17d ? l2c_d0 a12 0 (tl) 1 io pt11a pt17c vref_0_01 l2t_d0 b12 0 (tl) 1 io pt10d pt16d m0 l3c_d1 d13 0 (tl) 1 io pt10c pt16c m1 l3t_d1 a34 ? ? v ss v ss v ss ? ? e15 0 (tl) 2 io pt10b pt15d mpi_clk l4c_d3 b11 0 (tl) 2 io pt10a pt15c a21/mpi_burst_n l4t_d3 a10 0 (tl) 2 io pt9d pt14d m2 l5c_d3 e14 0 (tl) 2 io pt9c pt14c m3 l5t_d3 a3 0 (tl) ? v dd io0 v dd io0 v dd io0 ? ? d12 0 (tl) 2 io pt9b pt13d vref_0_02 l6c_d0 table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 96 c11 0 (tl) 2 io pt9a pt13c mpi_tea_n l6t_d0 b10 0 (tl) 3 io pt8d pt12d ? l7c_d0 a9 0 (tl) 3 io pt8c pt12c ? l7t_d0 c10 0 (tl) 3 io pt8b pt11d vref_0_03 l8c_d0 b9 0 (tl) 3 io pt8a pt11c ? l8t_d0 a8 0 (tl) 3 io pt7d pt10d d0 l9c_d2 d10 0 (tl) 3 io pt7c pt10c tms l9t_d2 b1 ? ? v ss v ss v ss ? ? c9 0 (tl) 4 io pt7b pt9d a20/mpi_bdip_n l10c_d0 b8 0 (tl) 4 io pt7a pt9c a19/mpi_tsz1 l10t_d0 a7 0 (tl) 4 io pt6d pt8d a18/mpi_tsz0 l11c_d4 e12 0 (tl) 4 io pt6c pt8c d3 l11t_d4 b3 0 (tl) ? v dd io0 v dd io0 v dd io0 ? ? d9 0 (tl) 4 io pt6b pt7d vref_0_04 l12c_d0 c8 0 (tl) 4 io pt6a pt7c ? l12t_d0 e11 0 (tl) 5 io pt5d pt6d d1 l13c_d3 b7 0 (tl) 5 io pt5c pt6c d2 l13t_d3 b2 ? ? v ss v ss v ss ? ? a6 0 (tl) 5 io pt5b pt5d ? l14c_d2 d8 0 (tl) 5 io pt5a pt5c vref_0_05 l14t_d2 c7 0 (tl) 5 io pt4d pt4d tdi l15c_d1 a5 0 (tl) 5 io pt4c pt4c tck l15t_d1 c1 0 (tl) ? v dd io0 v dd io0 v dd io0 ? ? e10 0 (tl) 5 io pt4b pt4b ? l16c_d2 d7 0 (tl) 5 io pt4a pt4a ? l16t_d2 a4 0 (tl) 6 io pt3d pt3d ? l17c_d4 e9 0 (tl) 6 io pt3c pt3c vref_0_06 l17t_d4 b33 ? ? v ss v ss v ss ? ? b6 0 (tl) 6 io pt3b pt3b ? l18c_a0 c6 0 (tl) 6 io pt3a pt3a ? l18t_a0 b5 0 (tl) 6 io pt2d pt2d pll_ck1c/ppll l19c_d1 d6 0 (tl) 6 io pt2c pt2c pll_ck1t/ppll l19t_d1 c2 0 (tl) ? v dd io0 v dd io0 v dd io0 ? ? c5 0 (tl) 6 io pt2b pt2b ? l20c_d0 b4 0 (tl) 6 io pt2a pt2a ? l20t_d0 e8 ? ? o pcfg_mpi_ir q pcfg_mpi_ir q cfg_irq_n/mpi_ir q_n ? table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 97 e7 ? ? io pcclk pcclk cclk ? d5 ? ? io pdone pdone done ? e6 ? ? v dd 33 v dd 33 v dd 33 ? ? b34 ? ? v ss v ss v ss ? ? a24 1 (tc) ? v dd io1 v dd io1 v dd io1 ? ? am23 5 (bc) ? v dd io5 v dd io5 v dd io5 ? ? ap1 ? ? v ss v ss v ss ? ? k4 0 (tl) 10 io unused pl11a ? ? m5 0 (tl) 10 io unused pl13a ? ? r5 7 (cl) 3 io unused pl20a ? ? t5 7 (cl) 3 io unused pl21a ? ? w4 7 (cl) 5 io unused pl27a ? ? aa2 7 (cl) 6 io unused pl28a ? ? y4 7 (cl) 6 io unused pl29a ? ? ac4 7 (cl) 8 io unused pl35a ? ? ad5 7 (cl) 8 io unused pl37a ? ? ag1 6 (bl) 1 io unused pl38a ? ? ak10 6 (bl) 7 io unused pb9a ? ? ak11 6 (bl) 7 io unused pb10a ? ? am9 6 (bl) 8 io unused pb11a ? ? an9 6 (bl) 8 io unused pb12a ? ? am14 6 (bl) 11 io unused pb19a ? ? an14 6 (bl) 11 io unused pb20a ? ? d11 0 (tl) 3 io unused pt12a ? ? e13 0 (tl) 3 io unused pt11a ? ? ap4 6 (bl) 5 io unused pb3a ? ? y3 7 (cl) ? v dd io7 v dd io7 v dd io7 ? ? ac3 7 (cl) ? v dd io7 v dd io7 v dd io7 ? ? ad1 7 (cl) ? v dd io7 v dd io7 v dd io7 ? ? ap11 5 (bc) ? v dd io5 v dd io5 v dd io5 ? ? ap17 5 (bc) ? v dd io5 v dd io5 v dd io5 ? ? ap19 5 (bc) ? v dd io5 v dd io5 v dd io5 ? ? ap24 5 (bc) ? v dd io5 v dd io5 v dd io5 ? ? c12 1 (tc) ? v dd io1 v dd io1 v dd io1 ? ? c15 1 (tc) ? v dd io1 v dd io1 v dd io1 ? ? c20 1 (tc) ? v dd io1 v dd io1 v dd io1 ? ? c23 1 (tc) ? v dd io1 v dd io1 v dd io1 ? ? w22 ? ? v dd 15 v dd 15 v dd 15 ? ? y16 ? ? v dd 15 v dd 15 v dd 15 ? ? v22 ? ? v dd 15 v dd 15 v dd 15 ? ? u22 ? ? v dd 15 v dd 15 v dd 15 ? ? t22 ? ? v dd 15 v dd 15 v dd 15 ? ? table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 98 p17 ? ? v dd 15 v dd 15 v dd 15 ? ? p18 ? ? v dd 15 v dd 15 v dd 15 ? ? n16 ? ? v dd 15 v dd 15 v dd 15 ? ? n17 ? ? v dd 15 v dd 15 v dd 15 ? ? n18 ? ? v dd 15 v dd 15 v dd 15 ? ? n19 ? ? v dd 15 v dd 15 v dd 15 ? ? p16 ? ? v dd 15 v dd 15 v dd 15 ? ? p19 ? ? v dd 15 v dd 15 v dd 15 ? ? r16 ? ? v dd 15 v dd 15 v dd 15 ? ? r17 ? ? v dd 15 v dd 15 v dd 15 ? ? r18 ? ? v dd 15 v dd 15 v dd 15 ? ? r19 ? ? v dd 15 v dd 15 v dd 15 ? ? t13 ? ? v dd 15 v dd 15 v dd 15 ? ? t14 ? ? v dd 15 v dd 15 v dd 15 ? ? t15 ? ? v dd 15 v dd 15 v dd 15 ? ? t20 ? ? v dd 15 v dd 15 v dd 15 ? ? t21 ? ? v dd 15 v dd 15 v dd 15 ? ? u13 ? ? v dd 15 v dd 15 v dd 15 ? ? u14 ? ? v dd 15 v dd 15 v dd 15 ? ? u15 ? ? v dd 15 v dd 15 v dd 15 ? ? u20 ? ? v dd 15 v dd 15 v dd 15 ? ? u21 ? ? v dd 15 v dd 15 v dd 15 ? ? v13 ? ? v dd 15 v dd 15 v dd 15 ? ? v14 ? ? v dd 15 v dd 15 v dd 15 ? ? v15 ? ? v dd 15 v dd 15 v dd 15 ? ? v20 ? ? v dd 15 v dd 15 v dd 15 ? ? v21 ? ? v dd 15 v dd 15 v dd 15 ? ? w13 ? ? v dd 15 v dd 15 v dd 15 ? ? w14 ? ? v dd 15 v dd 15 v dd 15 ? ? w15 ? ? v dd 15 v dd 15 v dd 15 ? ? w20 ? ? v dd 15 v dd 15 v dd 15 ? ? w21 ? ? v dd 15 v dd 15 v dd 15 ? ? y17 ? ? v dd 15 v dd 15 v dd 15 ? ? y18 ? ? v dd 15 v dd 15 v dd 15 ? ? y19 ? ? v dd 15 v dd 15 v dd 15 ? ? aa16 ? ? v dd 15 v dd 15 v dd 15 ? ? aa17 ? ? v dd 15 v dd 15 v dd 15 ? ? aa18 ? ? v dd 15 v dd 15 v dd 15 ? ? aa19 ? ? v dd 15 v dd 15 v dd 15 ? ? ab16 ? ? v dd 15 v dd 15 v dd 15 ? ? ab17 ? ? v dd 15 v dd 15 v dd 15 ? ? ab18 ? ? v dd 15 v dd 15 v dd 15 ? ? table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 99 c3 ? ? v ss v ss v ss ? ? c13 ? ? v ss v ss v ss ? ? ap2 ? ? v ss v ss v ss ? ? ap18 ? ? v ss v ss v ss ? ? ap33 ? ? v ss v ss v ss ? ? ap34 ? ? v ss v ss v ss ? ? aa20 ? ? v ss v ss v ss ? ? aa21 ? ? v ss v ss v ss ? ? aa22 ? ? v ss v ss v ss ? ? n21 ? ? v ss v ss v ss ? ? n22 ? ? v ss v ss v ss ? ? ab3 ? ? v ss v ss v ss ? ? ab19 ? ? v dd 15 v dd 15 v dd 15 ? ? n20 ? ? v ss v ss v ss ? ? note: pins labeled ?reserved? should be left unconnected. table 36. ort8850l and ort8850h 680-pin pbgam pinout (note: pins labeled ?eserved should be left unconnected) (continued) bm680 v dd io bank vref group i/o ort8850l ort8850h additional function pair
lattice semiconductor orca ort8850 data sheet 100 package thermal characteristics summary there are three thermal parameters that are in common use: ja , jc , and jc . it should be noted that all the param- eters are affected, to varying degrees, by package design (including paddle size) and choice of materials, the amount of copper in the test board or system board, and system air ow. ja this is the thermal resistance from junction to ambient (theta-ja, r-theta, etc.). (1) where t j is the junction temperature, t a, is the ambient air temperature, and q is the chip power. experimentally, ja is determined when a special thermal test die is assembled into the package of interest, and the part is mounted on the thermal test board. the diodes on the test chip are separately calibrated in an oven. the package/board is placed either in a jedec natural convection box or in the wind tunnel, the latter for forced con- vection measurements. a controlled amount of power (q) is dissipated in the test chip?s heater resistor, the chip?s temperature (t j ) is determined by the forward drop on the diodes, and the ambient temperature (t a ) is noted. note that ja is expressed in units of c/watt. jc this jedec designated parameter correlates the junction temperature to the case temperature. it is generally used to infer the junction temperature while the device is operating in the system. it is not considered a true thermal resistance, and it is de ned by: (2) where t c is the case temperature at top dead center, t j is the junction temperature, and q is the chip power. dur- ing the ja measurements described above, besides the other parameters measured, an additional temperature reading, t c , is made with a thermocouple attached at top-dead-center of the case. jc is also expressed in units of c/w. jc this is the thermal resistance from junction to case. it is most often used when attaching a heat sink to the top of the package. it is de ned by: (3) the parameters in this equation have been de ned above. however, the measurements are performed with the case of the part pressed against a water-cooled heat sink to draw most of the heat generated by the chip out the top of the package. it is this difference in the measurement process that differentiates jc from jc. jc is a true thermal resistance and is expressed in units of c/w. jb this is the thermal resistance from junction to board ( jl ). it is de ned by: (4) where t b is the temperature of the board adjacent to a lead measured with a thermocouple. the other parameters on the right-hand side have been de ned above. this is considered a true thermal resistance, and the measure- ment is made with a water-cooled heat sink pressed against the board to draw most of the heat out of the leads. note that jb is expressed in units of c/w and that this parameter and the way it is measured are still being dis- cussed by the jedec committee. = t j - t a ja q = t j - t c jc q = t j - t c jc q = t j - t b jb q
lattice semiconductor orca ort8850 data sheet 101 fpsc maximum junction temperature once the power dissipated by the fpsc has been determined, the maximum junction temperature of the fpsc can be found. this is needed to determine if speed derating of the device from the 85 c junction temperature used in all of the delay tables is needed. using the maximum ambient temperature, t amax , and the power dissipated by the device, q (expressed in c), the maximum junction temperature is approximated by: t jmax = t amax + (q ? ja ) table 37 lists the thermal characteristics for the package used with the orca ort8850 series of fpscs. package thermal characteristics table 37. orca ort8850 plastic package thermal guidelines heat sink information the estimated worst-case power requirements for the ort8850 are in the 4 w to 5 w range. consequently, for most applications an external heat sink will be required. the following table lists, in alphabetical order, heat sink vendors who advertise heat sinks aimed at the bga market. table 38. heat sink vendors package coplanarity the coplanarity limits of the lattice packages are as follows: ? pbgam: 8.0 mils package ja ( c/w) maximum power (w) 0 fpm 200 fpm 500 fpm t = 70 c max, t j = 125 c max, 0 fpm 680-pin pbgam* 13.4 11.5 10.5 4.10 * the 680-pin pbgam package includes 2 oz. copper plates. vendor location phone aavid thermalloy concord, nh (603) 224-9988 chip coolers (tyco electronics) harrisburg, pa (800) 468-2023 ierc (cts corp.) burbank, ca (818) 842-7277 r-theta buffalo, ny (800) 388-5428 sanyo denki torrance, ca (310) 783-5400 wake eld thermal solutions pelham, nh (603) 635-2800
lattice semiconductor orca ort8850 data sheet 102 package parasitics the electrical performance of an ic package, such as signal quality and noise sensitivity, is directly affected by the package parasitics. table 39 lists eight parasitics associated with the orca packages. these parasitics represent the contributions of all components of a package, which include the bond wires, all internal package routing, and the external leads. four inductances in nh are listed: l sw and l sl, the self-inductance of the lead; and l mw and l ml , the mutual inductance to the nearest neighbor lead. these parameters are important in determining ground bounce noise and inductive crosstalk noise. three capacitances in pf are listed: c m , the mutual capacitance of the lead to the near- est neighbor lead; and c 1 and c 2 , the total capacitance of the lead to all other leads (all other leads are assumed to be grounded). these parameters are important in determining capacitive crosstalk and the capacitive loading effect of the lead. resistance values are in m . the parasitic values in table 39 are for the circuit model of bond wire and package lead parasitics. if the mutual capacitance value is not used in the designer?s model, then the value listed as mutual capacitance should be added to each of the c 1 and c 2 capacitors. table 39. orca ort8850 package parasitics figure 39. package parasitics package outline diagrams terms and de nitions basic size (bsc): the basic size of a dimension is the size from which the limits for that dimension are derived by the application of the allowance and the tolerance. design size: the design size of a dimension is the actual size of the design, including an allowance for t and tol- erance. typical (typ): when speci ed after a dimension, this indicates the repeated design size if a tolerance is speci ed or repeated basic size if a tolerance is not speci ed. reference (ref): the reference dimension is an untoleranced dimension used for informational purposes only. it is a repeated dimension or one that can be derived from other values in the drawing. minimum (min) or maximum (max): indicates the minimum or maximum allowable size of a dimension. package type l sw l mw r w c 1 c 2 c m l sl l ml 680-pin pbgam 3.8 1.3 250 1.0 1.0 0.3 2.8 - 5.0 0.5 - 1.0 pad n board pads c m c 1 l sw r w l sl l mw c 2 c 1 l ml c 2 pad n + 1 l sw r w l sl circuit pad n+1 pad n package pads
lattice semiconductor orca ort8850 data sheet 103 package outline drawings figure 40. 680-pin pbgam outline drawings dimensions are in millimeters. seating plane solder ball 0.50 0.10 0.20 35.00 t d h al f k b p m l j ah r c e y n u an g ad v am aj ag ae ac aa w ap ak af ab a 19 30 26 28 24 32 22 20 18 4 6 8 10121416 2 34 52325 73 1 29 15 21 32 7 11 17 913 1 33 33 spaces @ 1.00 = 33.00 33 spaces a1 ball 0.64 0.15 a1 ball @ 1.00 = 33.00 corner 30.00 1.170 + 0.70 C 0.00 35.00 30.00 + 0.70 C 0.00 identifier zone 2.51 max 0.61 0.08
lattice semiconductor orca ort8850 data sheet 104 ordering information figure 41. part number description table 40. device type options table 41. temperature range table 42. conventional packaging ?commercial ordering information 1 table 43. conventional packaging ?industrial ordering information 1 1.for all but the slowest commercial speed grade, the speed grades on these devices are dual marked. for example, the commercia l speed grade -2xxxxxc is also marked with the industrial grade -1xxxxxi. the commercial grade is always one speed grade faster than th e associ- ated dual mark industrial grade. the slowest commercial speed grade is marked as commercial grade only. device voltage ort8850l 1.5 v internal 3.3 v/2.5 v/1.8 v/1.5 v i/o ort8850h 1.5 v internal 3.3 v/2.5 v/1.8 v/1.5 v i/o symbol description ambient temperature junction temperature c commercial 0 ? c to +70 ? c 0 ? c to +85 ? c i industrial ?40 ? c to +85 ? c ?40 ? c to +100 ? c device family part number speed grade package type ball count grade ort8850l ort8850l-3bm680c 3 pbgam (fpbga) 680 c ort8850l-2bm680c 2 pbgam (fpbga) 680 c ort8850l-1bm680c 1 pbgam (fpbga) 680 c ort8850h ort8850h-2bm680c 2 pbgam (fpbga) 680 c ort8850h-1bm680c 1 pbgam (fpbga) 680 c device family part number speed grade package type ball count grade ort8850l ort8850l-2bm680i 2 pbgam (fpbga) 680 i ort8850l-1bm680i 1 pbgam (fpbga) 680 i ort8850h ORT8850H-1BM680I 1 pbgam (fpbga) 680 i device family ort8850l ort8850h ort8850x x x xxx xxx speed grade package type bm = fine-pitch plastic ball grid array (pbgam) bmn = lead-free fine-pitch plastic ball grid array (pbgam) ball count grade c = commercial i = industrial -
lattice semiconductor orca ort8850 data sheet 105 table 44. lead-free packaging ?commercial ordering information 1 table 45. lead-free packaging ?industrial ordering information 1 1.for all but the slowest commercial speed grade, the speed grades on these devices are dual marked. for example, the commercia l speed grade -2xxxxxc is also marked with the industrial grade -1xxxxxi. the commercial grade is always one speed grade faster than th e associ- ated dual mark industrial grade. the slowest commercial speed grade is marked as commercial grade only. device family part number speed grade package type ball count grade ort8850l ort8850l-3bmn680c 3 lead-free pbgam (fpbga) 680 c ort8850l-2bmn680c 2 lead-free pbgam (fpbga) 680 c ort8850l-1bmn680c 1 lead-free pbgam (fpbga) 680 c ort8850h ort8850h-2bmn680c 2 lead-free pbgam (fpbga) 680 c ort8850h-1bmn680c 1 lead-free pbgam (fpbga) 680 c device family part number speed grade package type ball count grade ort8850l ort8850l-2bmn680i 2 lead-free pbgam (fpbga) 680 i ort8850l-1bmn680i 1 lead-free pbgam (fpbga) 680 i ort8850h ort8850h-1bmn680i 1 lead-free pbgam (fpbga) 680 i


▲Up To Search▲   

 
Price & Availability of ORT8850H-1BM680I

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X